A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations

作者:Lu Chien Pang*; Chao Mango Chia Tso; Lo Chen Hsing; Chang Chih Wei
来源:IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, 29(2): 240-245.
DOI:10.1109/TCAD.2009.2040011

摘要

To reduce the time-to-market and photomask cost for advanced process technologies, metal-only engineering change order (ECO) has become a practical and attractive solution to handle incremental design changes. Due to limited spare cells in metal-only ECO, the new added netlist may often violate the input-slew and output-loading constraints and, in turn, delay or even fail the timing closure. This paper presents a framework, named metal-only ECO slew/cap solver (MOESS), to resolve the input-slew and output-loading violations by connecting spare cells onto the violated nets as buffers. MOESS performs two buffer-insertion schemes in a sequential manner to first minimize the number of inserted buffers and then resolve timing violations, if any. The experimental results based on industrial designs demonstrate that MOESS can resolve more violations with fewer inserted buffers and less central processing unit runtime compared to an electronic design automation vendor's solution.

  • 出版日期2010-2