摘要

本文阐述了Altera MAX V最小系统从硬件原理图到PCB设计、从软件QuartusII编写VHDL到Modelsim-Altera的软件仿真,以及最终的程序下载到开发板上的整个工程设计调试过程,为后续的Altera MAX V工程设计应用提供技术参考和支持。