Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore

作者:Li, Xueqing*; Ma, Kaisheng; George, Sumitha; Khwa, Win-San; Sampson, John; Gupta, Sumeet; Liu, Yongpan; Chang, Meng-Fan; Datta, Suman; Narayanan, Vijaykrishnan*
来源:IEEE Transactions on Electron Devices, 2017, 64(7): 3037-3040.
DOI:10.1109/TED.2017.2707664

摘要

Nonvolatile SRAM (nvSRAM) has emerged as a promising approach to reducing the standby energy consumption by storing the state into an in situ nonvolatile memory element and shutting down the power supply. Existing nvSRAM solutions based on a nonvolatile backup in magnetic tunnel junction and ReRAM, however, are costly in backup and restore energy due to static current. This cost results in a long breakeven time (BET) when compared with a lowered voltage standby volatile SRAM. This brief proposes an nvSRAM based on ferroelectric FETs (FeFETs) that are capable of fully avoiding such static current. A simple differential backup and restore circuitry is proposed, achieving sub-fJ/cell total energy per backup and restore operation at the 10-nm node. This leads to hundreds of times BET improvement over existing ReRAM nvSRAM solutions. This nvSRAM also indicates the future FeFET design trends for such memory-logic synergy.