摘要

系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器,WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用。在QuartusⅡ9.0中完成设计,并在modelsim中完成仿真,实验结果表明,该系统能够可靠完成对人的语音信号的采集、回放与存储,回放时在输出端会听到清晰语音。