Nanoparticle Photoresists from HfO2 and ZrO2 for EUV Patterning

作者:Trikeriotis Markos*; Krysak Marie; Chung Yeon Sook; Ouyang Christine; Cardineau Brian; Brainard Robert; Ober Christopher K; Giannelis Emmanuel P; Cho Kyoungyong
来源:Journal of Photopolymer Science and Technology, 2012, 25(5): 583-586.
DOI:10.2494/photopolymer.25.583

摘要

Performance requirements for EUV resists will demand the development of entirely new resist platforms. A challenge in designing these new HfO2 and ZrO2 nanoparticle resists is the selection of molecular structures that will provide both superior performance in imaging and etch resistance while maintaining optimal absorbance at EUV wavelengths. We have previously described the use of inorganic nanoparticle photoresists for 193 nm and e-beam lithography. These inorganic photoresists are made of oxide nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (%26lt; 40 nm) and will help push the resolution limits below 20 mn without pattern collapse. Additionally, the small size of the nanoparticles (%26lt; 5 nm) leads to low LER. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (%26lt;30 nm) with very high sensitivity and low LER.

  • 出版日期2012