DSP EMIF与FPGA双口RAM高速通信实现

作者:杨龙; 李范鸣; 刘士建
来源:现代电子技术, 2014, (13): 10-12+16.
DOI:10.16652/j.issn.1004-373x.2014.13.027

摘要

现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传送到FPGA的片内接收模块双口RAM并进行存储。EMIF通道实现了对数据的传输,双口RAM完成了对数据的接收。实验结果表明,该设计方案能够实现数据的双向快速正确传输。

全文