Adding the Missing Time-Dependent Layout Dependency into Device-Circuit-Layout Co-Optimization -New Findings on the Layout Dependent Aging Effects

作者:Ren, Pengpeng; Xu, Xiaoqing; Hao, Peng; Wang, Junyao; Wang, Runsheng; Li, Ming; Wang, Jianping; Bu, Weihai; Wu, Jingang; Wong, Waisum; Yu, Shaofeng; Wu, Hanming; Lee, Shiuh-Wuu; Pan, David Z.; Huang, Ru
来源:IEEE International Electron Devices Meeting (IEDM), Washington, DC, 2015-12-07 To 2015-12-09.

摘要

In this paper, a new class of layout dependent effects (LDE)-the time-dependent layout dependency due to device aging, is reported for the first time. The BTI and HCI degradation in nanoscale HKMG devices are experimentally found to be sensitive to layout configurations, even biased at the same stress condition. This new effect of layout dependent aging (LDA) can significantly mess the circuit design, which conventionally only includes the static LDE modeled for time-zero performance. Further studies at circuit level indicate that, for resilient device-circuit-layout co-design, especially to ensure enough design margin near the end of life, LDA cannot be neglected. The results are helpful to guide the cross-layer technology/design co-optimization.