摘要

Primarily used as etch mask, single layer hydrogen silsesquioxane has never been investigated for lift-off technique. In this article, we propose a new technique where a single layer of hydrogen silsesquioxane, a negative tone electron beam resist, is used to make lift-off of germanium and platinum. Removal of exposed hydrogen silsesquioxane is tested for various concentrations of hydrofluoric acid. Ultrasonic agitation is also used to reduce the formation of flakes due to accumulation of matter (evaporated metal in our case) along the sidewalls of the lift-off narrow slots. Results demonstrate potential in applying the hydrogen silsesquioxane as a negative tone lift-off resist to pattern nanometer scale features into germanium and platinum layers.

  • 出版日期2010-10