Compact Current Source Models for Timing Analysis Under Temperature and Body Bias Variations

作者:Gupta Saket*; Sapatnekar Sachin S
来源:IEEE Transactions on Very Large Scale Integration Systems, 2012, 20(11): 2104-2117.
DOI:10.1109/TVLSI.2011.2169686

摘要

State-of-the-art timing tools are built around the use of current source models (CSMs), which have proven to be fast and accurate in enabling the analysis of large circuits. As circuits become increasingly exposed to process and temperature variations, there is a strong need to augment these models to account for thermal effects and for the impact of adaptive body biasing, a compensatory technique that is used to overcome on-chip variations. However, a straightforward extension of CSMs to incorporate timing analysis at multiple body biases and temperatures results in unreasonably large characterization tables for each cell. We propose a new approach to compactly capture body bias and temperature effects within a mainstream CSM framework. Our approach features a table reduction method for compaction of tables and a fast and novel waveform sensitivity method for timing evaluation under any body bias and temperature condition. On a 45-nm technology, we demonstrate high accuracy, with mean errors of under 4% in both slew and delay as compared to HSPICE. We show a speedup of over five orders of magnitude over HSPICE and a speedup of about 92x over conventional CSMs.

  • 出版日期2012-11