Home
|
Learning Center
|
中文版
Publications
站内成果搜索:
搜索
Low temperature effects on the response time of liquid crystal displays
Low temperature catalytic conversion of methane to formic acid by simple vanadium compound with use of H2O2
Low numerical dispersion locally one-dimensional FDTD method based on compact higher-order scheme
Low memory implementation of generic hierarchical transforms for parent-children tree (PCT) production and its application in image compression
Low residual doping level in homoepitaxially grown ZnO layers (vol 92, art no 141101, 2008)
Low temperature synthesis of InP nanocrystals
Low Surface Energy Polymer with Fluorinated Side Group
Low on-resistance trench power MOSFETs design
Low intensity pulsed ultrasound accelerates macrophage phagocytosis by a pathway that requires actin polymerization, Rho, and Src/MAPKs activity
Low temperature EPR spectra of Fe(3+) centers in ternary layered TlGaS(2) crystal
Low operation voltage of nitride-based LEDs with Al-doped ZnO transparent contact layer
Low birth weight and risk of affective disorders and selected medical illness in offspring at high and low risk for depression
Low level RF control system development for the PEFP proton accelerator
Low noise magnetoresistive sensors for current measurement and compasses
Low risk of cardiac events during intramedullary instrumentation of lung cancer metastases
Low socio-economic position is associated with poor social networks and social support: results from the Heinz Nixdorf Recall Study
Low cost adjustable axicon
Low Glutathione Level Favors Formation of DNA Adducts to 4-Hydroxy-2(E)-nonenal, a Major Lipid Peroxidation Product
Low temperature growth of carbon nanotubes by chemical vapor deposition for field emission cathodes
Low temperature synthesis of amorphous carbon nanotubes in air
Low serum testosterone and high serum estradiol associate with lower extremity peripheral arterial disease in elderly men - The MrOS study in Sweden
Low plasma protein C values predict mortality in low birth weight neonates with septicemia
Low pH hydrothermal synthesis and properties of lanthanide-organic frameworks with (4(10),6(5))(4(9),6(6)) topology constructed from Ln-Hbptc building blocks
Low loss and high speed silicon optical modulator based on a lateral carrier depletion structure
Low Income, Social Growth, and Good Health: A History of Twelve Countries
Low water swelling and high proton conducting sulfonated poly(arylene ether) with pendant sulfoalkyl groups for proton exchange membranes
Low diurnal variability of apolipoprotein A1, apolipoprotein B and apolipoprotein B/apolipoprotein A1 ratio during normal sleep and after an acute shift of sleep
Low meprin alpha expression differentiates primary ovarian mucinous carcinoma from gastrointestinal cancers that commonly metastasise to the ovaries
Low specificity of the Murex fourth-generation HIV enzyme immunoassay in Tanzanian adolescents
Low potential detection of NADH at titanium-containing MCM-41 modified glassy carbon electrode
Low levels of genetic differentiation among populations of the coral-inhabiting snail Coralliophila violacea (Gastropoda : Coralliophilidae) in regions of the Kuroshio and South China Sea
Low temperature emission characteristics of an ytterbium sensitized erbium-doped tellurite glass
Low shear viscosity due to Anderson Localization
Low level of galacto-oligosaccharide in infant formula stimulates growth of intestinal Bifidobacteria and Lactobacilli
Low leakage Schottky rectifiers fabricated on homoepitaxial GaN
Low expression of catecholamine-O-methyl-transferase gene in obsessive-compulsive disorder
Low frequency of the Mx allele for viral resistance predates recent intensive selection in domestic chickens
Low frequency damping capacities and mechanical properties of Mg-Si alloys
Low prevalence of SV40 in Swiss mesothelioma patients after elimination of false-positive PCR results
Low temperature processing of Mn-Zn nanoferrites
Low molecular weight proteins of outer membrane of Salmonella typhimurium are immunogenic in Salmonella induced reactive arthritis revealed by proteomics
Low efficacy of amodiaquine or chloroquine plus sulfadoxine-pyrimethamine against Plasmodium falciparum and P. vivax malaria in Papua New Guinea
Low complexity scheduling algorithms for downlink multiuser MIMO system
Low temperature synthesis of single-walled carbon nanotubes in an inductively coupled plasma chemical vapor deposition system
Low cost fabrication of the large-area anti-reflection films from polymer by nanoimprint/hot-embossing technology
Low power gated clock tree driven placement
Low temperature sintering and magnetic properties of garnet microwave magnetic materials
Low levels of DNA Ligases III and IV sufficient for effective NHEJ
Low level IGF-I and common variable immune deficiency: an unusual combination
Low discrepancy sequences in high dimensions: How well are their projections distributed?
Low dose radiation and intercellular induction of apoptosis: potential implications for the control of oncogenesis
Low temperature growth of carbon nanotubes using Ni nanopowder mixed with Ag-paste as catalyst
Low temperature growth of La(0.67)Ba(0.33)MnO(3) thin films of high quality using pulsed laser deposition assisted by CO(2) laser irradiation
Low expression of reversion-inducing cysteine-rich protein with Kazal motifs (RECK) indicates a shorter survival after resection in patients with adenocarcinoma of the lung
Low vitamin B-12 status and risk of cognitive decline in older adults
Low oxygen tension alleviates oxidative damage and delays cellular senescence in G6PD-deficient cells
Low volume fraction rimming flow in a rotating horizontal cylinder
Low power high data rate wireless endoscopy transceiver
Low levels of plasma soluble complement receptor type 1 in patients receiving thrombolytic therapy for acute myocardial infarction
Low sale of antibiotics without prescription: a cross-sectional study in Zimbabwean private pharmacies
Low intensity ultrasound as a supporter of cartilage regeneration and its engineering
Low temperature synthesis of (Pb,La) (Zr,Sn,Ti)O-3 powders by citrate process
Low cost GSM/GPRS based wireless home security system
Low prevalence of an acute phase response in asymptomatic children from a malaria-endemic area of Papua New Guinea
Low energy 16-bit Booth leapfrog array multiplier using dynamic adders
Low numbers of regulatory T cells in common variable immunodeficiency: association with chronic inflammation in vivo
Low temperature CO oxidation over unsupported nanoporous gold
Low levels of cellular omega-3 increase the risk of ventricular fibrillation during the acute ischaemic phase of a myocardial infarction
Low support for separate species within the redpoll complex (Carduelis flammea-hornemanni-cabaret) from analyses of mtDNA and microsatellite markers
Low shear stress-induced interleukin-8 mRNA expression in endothelial cells is mechanotransduced by integrins and the cytoskeleton
Low pressure chemical vapor deposition synthesis of InN nanowires and their field electron emission
Low temperature radioluminescence spectra of CsI:Tl
Low temperature hydroxylation of benzene to phenol by hydrogen peroxide over Fe/activated carbon catalyst
Low propagation loss SiN optical waveguide prepared by optimal low-hydrogen module
Low grade central osteosarcoma - A diagnostic dilemma
Low bispectral index values in a 2-yr-old with a large bifrontal porencephalic cyst
Low superheat pouring with a shear field in rheocasting of aluminum alloys
Low temperature catalytic combustion of propane over Pt-based catalyst with inverse opal microstructure in a microchannel reactor
Low organic solvent exposure and combined maternal-infant gene polymorphisms affect gestational age
Low temperature deposition of nanocrystalline TiO2 films: enhancement of nanocrystal formation by energetic particle bombardment
Low pass effect of surface defect metal based on laser ultrasonic
Low pressure pyrolysis of melamine: novel route to preparing titanium carbonitride nanocrystals
Low temperature electron-phonon resonance in dc-current-biased two-dimensional electron systems
Low plasma pyridoxal 5'-phosphate concentration and MTHFR 677C -> T genotypes are associated with increased risk of hypertension
Low Molecular Weight Polyethylenimine Grafted N-Maleated Chitosan for Gene Delivery: Properties and In Vitro Transfection Studies
Low intensity ultrasound-induced apoptosis in human gastric carcinoma cells
Low expression of gamma-glutamyl hydrolase mRNA in primary colorectal cancer with the CpG island methylator phenotype
Low complement C4B gene copy number predicts short-term mortality after acute myocardial infarction
Low heat conduction in white dwarf boundary layers?
Low serum chromogranin A in patients with self-reported food hypersensitivity
Low risk of reproductive disorders among female greenhouse workers - Safe work conditions or health selection for the light work?
Low baseline bispectral index values in a patient with gross hydrocephalus
Low temperature transfer and formation of carbon nanotube arrays by imprinted conductive adhesive
Low Vowel Raising in Sinitic Languages: Assimilation, Reduction, or Both?
Low prevalence of major depressive disorder in Taiwanese adults: possible explanations and implications
Low birth weight and psychiatric morbidity; stability and change between adolescence and young adulthood
Low cholesterol as a risk factor for primary intracerebral hemorrhage: A case-control study: A comment on the study Reply
Low Adiponectin, High Levels of Apoptosis and Increased Peripheral Blood Neutrophil Activity in Healthy Obese Subjects
Low SPINK5 expression in chronic rhinosinusitis
Low temperature direct bonding: An attractive technique for heterostructures build-up
Low Frequency of PIK3CA Gene Mutations in Hepatocellular Carcinoma in Chinese Population
Low speed water-entry of cylindrical projectile
Low incidence of positive smooth muscle antibody and high incidence of isolated IgM elevation in Chinese patients with autoimmune hepatitis and primary biliary cirrhosis overlap syndrome: a retrospective study
Low doses of selenium specifically stimulate the repair of oxidative DNA damage in LNCaP prostate cancer cells
Low Bias Negative Differential Resistance with Large Peak-to-Valley Ratio in a BDC60 Junction
Low expression of RECK indicates a shorter survival for patients with invasive breast cancer
Low temperature rapid preparation of selenium nanostructures in the presence of food surfactants
Low frequency noise in La0.7Sr0.3MnO3 based magnetic tunnel junctions
Low Stratospheric Wind Measurement Using Mobile Rayleigh Doppler Wind LIDAR
Low genetic diversity and local adaptive divergence of Dracaena cambodiana (Liliaceae) populations associated with historical population bottlenecks and natural selection: an endangered long-lived tree endemic to Hainan Island, China
Low molecular weight beta-glucan stimulates doxorubicin-induced suppression of immune functions in mice
Low frequency damping capacities of commercial pure magnesium
Low molecular weight heparin versus unfractionated heparin in cerebral venous sinus thrombosis: a randomized controlled trial
Low temperature synthesis and properties of MgxZn1-x O:Al UV transparent conducting films by ultrasonic spray pyrolysis
Low expression levels of ATM may substitute for CHEK2/TP53 mutations predicting resistance towards anthracycline and mitomycin chemotherapy in breast cancer
Low cholesterol as a risk factor for primary intracerebral hemorrhage: A case-control study
Low density lipoprotein size in relation to carotid intima-media thickness in coronary artery disease
Low temperature fabrication of ZnO compact layer for high performance plastic dye-sensitized ZnO solar cells
Low serum vitamin D is associated with increased mortality in elderly men: MrOS Sweden
Low molecular weight chitosan-coated liposomes for ocular drug delivery: In vitro and in vivo studies
Low protein diet up-regulate intramuscular lipogenic gene expression and down-regulate lipolytic gene expression in growth-finishing pigs
Low serum PON1 activity: An independent risk factor for coronary artery disease in North-West Indian type 2 diabetics
Low serum pepsinogen I and pepsinogen I/II ratio and Helicobacter pylori infection are associated with increased risk of gastric cancer: 14-year follow up result in a rural Chinese community
Low Selenium Diet Alters Cell Cycle Phase, Apoptotic Population and Modifies Oxidative Stress Markers of Spleens in Broilers
Low temperature bleaching and dyeing properties of modified cellulose fabrics with triazine derivative
Low incidence of benign lesions in resected suspicious renal masses greater than 2 cm: Single-center experience from Japan
Low dose 320-row CT for left atrium and pulmonary veins imaging-the feasibility study
Low doses of esmolol and phenylephrine act as diuretics during intravenous anesthesia
Low aglycone content in commercial soy drink products
Low concentrations of serum 25-hydroxyvitamin D associated with increased risk for chronic bronchitis among US adults
Low serum levels of the innate immune component ficolin-3 is associated with insulin resistance and predicts the development of type 2 diabetes
Low temperature electron transport in phosphorus-doped ZnO films grown on Si substrates
Low Body Mass Index Can Identify Majority of Osteoporotic Inflammatory Bowel Disease Patients Missed by Current Guidelines
Low Estimated Glomerular Filtration Rate Is a Major Determinant of Low Ankle-Brachial Index and Toe-Brachial Index in Type 2 Diabetes
Low Expression of Stathmin in Tumor Predicts High Response to Neoadjuvant Chemotherapy with Docetaxel-Containing Regimens in Locally Advanced Breast Cancer
Low to Moderate Alcohol Intake During Pregnancy and Risk of Psychomotor Deficits
Low frequency phononic band structures in two-dimensional arc-shaped phononic crystals
Low temperature synthesis and photocatalytic properties of highly oriented ZnO/TiO2-xNy coupled photocatalysts
Low Rates of Hepatitis Screening and Vaccination of HIV-Infected MSM in HIV Clinics
Low temperature fabrication of high performance and transparent Pt counter electrodes for use in flexible dye-sensitized solar cells
Low temperature magnetoresistance properties of Ag2+delta SexTe1-x nanocrystalline thin films
Low Pathogenic Avian Influenza A (H7N2) Virus Infection in Immunocompromised Adult, New York, USA, 2003
Low temperature hydrothermal synthesis of nano-sized manganese oxide for supercapacitors
Low energy Fe+ beam irradiation to C-60 thin film
Low cost poly(3,4-ethylenedioxythiophene):polystyrenesulfonate/carbon black counter electrode for dye-sensitized solar cells
Low blood lead levels and hemoglobin concentrations in preschool children in China
Low Temperature Synthesis of alpha-LiFeO2 Nanoparticles and Its Behavior as Cathode Materials for Li-ion Batteries
Low Serum Vitamin D Concentrations in Patients with Schizophrenia
Low genetic diversity in Antarctic populations of the lichen-forming ascomycete Cetraria aculeata and its photobiont
Low temperature sintering and microwave dielectric properties of 7NiNb(2)O(6)-9TiO(2) ceramics with CuO addition
Low Carbon Development of China's Yangtze River Delta Region
Low Densities of Serotonin and Peptide YY Cells in the Colon of Patients with Irritable Bowel Syndrome
Low Level of Low-Density Lipoprotein Receptor-Related Protein 1 Predicts an Unfavorable Prognosis of Hepatocellular Carcinoma after Curative Resection
Low expression of Beclin 1, associated with high Bcl-xL, predicts a malignant phenotype and poor prognosis of gastric cancer
Low voltage RF MEMS variable capacitor with linear C-V response
Low temperature synthesis of novel rodlike Bi5O7I with visible light photocatalytic performance
Low stability remedies the low bioavailability of curcumin
Low concentration of ethylenediaminetetraacetic acid (EDTA) affects biofilm formation of Listeria monocytogenes by inhibiting its initial adherence
Low Frequency FDTD Algorithm and its Application to Inductive Hyperthermia
Low self-awareness of ADHD in adults using a self-report screening questionnaire
Low power sessile droplets actuation via modulated surface acoustic waves
Low temperature crystallized voltage tunable Bi1.5CuxMg1-xNb1.5O7 thin films capable of integration with Au electrode
Low Serum Adiponectin Predicts Future Risk for Asthma in Women
Low energy electron beam induced vacancy activation in GaN
Low temperature plasma-initiated precipitation copolymerization of styrene and maleic anhydride
Low irradiance background limited type-II superlattice MWIR M-barrier imager
Low Yield of Near-Zero-Momentum Electrons and Partial Atomic Stabilization in Strong-Field Tunneling Ionization
Low frequency of DNMT3A mutations in pediatric AML, and the identification of the OCI-AML3 cell line as an in vitro model
Low free testosterone in HIV-infected men is not associated with subclinical cardiovascular disease
Low Temperature Heat Treatment of Anodic TiO2 Nanotube Array Thin Film and Their Photo-Electrochemical Properties
Low energy Ar-ion bombardment effects on the CeO2 surface
Low Temperature Direct Bonding of InP and Si3N4-Coated Silicon Wafers for Photonic Device Integration
Low Voltage Electrical Injury Induces Psychosis
Low frequency signal spectrum analysis for strong earthquakes
Low protein fouling polypropylene membrane prepared by photoinduced reversible addition-fragmentation chain transfer polymerization
Low molecular weight heparin versus unfractionated heparin in patients with acute non-ST-segment elevation myocardial infarction undergoing percutaneous coronary intervention with drug-eluting stents
Low temperature sintering and microwave dielectric properties of zinc silicate ceramics
Low temperature sintering and dielectric properties of Ba0.6Sr0.4TiO3-MgO composite ceramics with CaO-B2O3-SiO2 glass addition
Low energy collisions of CN(X-2 Sigma(+)) with He in magnetic fields (Retracted article. See vol. 136, 199901, 2012)
Low crosslinking imprinted coatings based on liquid crystal for capillary electrochromatography
Low temperature preparation and optical properties of K2Ti6O13
Low temperature fabrication of Ni-P metallic patterns on ITO substrates utilizing inkjet printing
Low energy collisions of CN(X-2 Sigma(+)) with He in magnetic fields (Retraction of vol 136, 054302, 2012)
Low BDNF is associated with cognitive impairment in chronic patients with schizophrenia
Low temperature preparation and properties of high activity anatase TiO2 aqueous sols
Low cycle fatigue behavior of a high nitrogen austenitic stainless steel under uniaxial and non-proportional loadings based on the partition of hysteresis loops
Low latency systolic multipliers for finite field GF (2 (m) ) based on irreducible polynomials
Low temperature synthesis and characterisation of aqueous sol-gel derived Bi1.5MgNb1.5O7 nanopowders
Low temperature Cu-Cu thermo-compression bonding with temporary passivation of self-assembled monolayer and its bond strength enhancement
Low moment NiCr radio frequency magnetic films for multiferroic heterostructures with strong magnetoelectric coupling
Low antioxidant status of serum uric acid, bilirubin and albumin in patients with neuromyelitis optica
Low Molecular Weight Heparin Ablates Lung Cancer Cisplatin-Resistance by Inducing Proteasome-Mediated ABCG2 Protein Degradation
Low turnoff loss reverse-conducting IGBT with double n-p-n electron extraction paths
Low Power and High Sensitivity MOSFET-Based Pressure Sensor
Low Complexity H.264/AVC Intraframe Coding for Wireless Multimedia Sensor Network
Low alpha(2)beta(1) Integrin Function Enhances the Proliferation of Fibroblasts from Patients with Idiopathic Pulmonary Fibrosis by Activation of the beta-Catenin Pathway
Low Threshold, Amplified Spontaneous Emission from Core-Seeded Semiconductor Nanotetrapods Incorporated into a Sol-Gel Matrix
Low energy fast events from radon progenies at the surface of a CsI(Tl) scintillator
Low and moderate doses of acute ethanol do not impair spatial cognition but facilitate accelerating rotarod performance in adolescent and adult rats
Low Microsomal Epoxide Hydrolase Expression is Associated with Bladder Carcinogenesis and Recurrence
Low expression of DAB2IP contributes to malignant development and poor prognosis in hepatocellular carcinoma
Low temperature synthesis of copper telluride nanostructures: phase formation, growth, and electrical transport properties
Low threshold 2nd-order random lasing of a fiber laser with a half-opened cavity
Low temperature emission spectra of optically nonlinear N-benzyl-2-methyl-4-nitroaniline crystal
Low threshold amplified spontaneous emission from dye-doped DNA biopolymer
Low pressure high flux thin film nanofibrous composite membranes prepared by electrospraying technique combined with solution treatment
Low Level Laser Irradiation in the Visible Spectra Induces HeLa Cells Proliferation
Low equivalent oxide thickness of TiO2/GaAs MOS capacitor
Low amino acids affect expression of 11 beta-HSD2 in BeWo cells through leptin-activated JAK-STAT and MAPK pathways
Low maternal vitamin B-12 is a risk factor for neural tube defects: a meta-analysis
Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications
Low temperature preparation and transport properties of ternary Pb-Bi-Te alloy
Low Catalyst Loadings for Copper-Catalyzed O-Arylation of Phenols with Aryl and Heteroaryl Halides under Mild Conditions
Low temperature synthesis of nanosized ZnNb2O6 photocatalysts by a citrate complex method
Low pressure plasma arc source ion nitriding compared with glow-discharge plasma nitriding of stainless steel
Low Complexity Transmitter Architectures for SFBC MIMO-OFDM Systems
Low local metastatic rate may widen indication of nephron-sparing surgery for renal cell carcinoma
Low shrinkage light curable dental nanocomposites using SiO2 microspheres as fillers
Low temperature solvothermal synthesis of crumpled carbon nanosheets
Low Voltage and Low Power Pulse Flip-Flops in Nanometer CMOS Processes
Low energy prompt gamma-ray tests of a large volume BGO detector
Low Mach number limit for the multi-dimensional full magnetohydrodynamic equations
Low Efficacy of Clarithromycin Including Sequential Regimens for Helicobacter Pylori Infection
Low Dose Theophylline Showed an Inhibitory Effect on the Production of IL-6 and IL-8 in Primary Lung Fibroblast from Patients with COPD
Low resistance Ti Ohmic contacts to 4H-SiC by reducing barrier heights without high temperature annealing
Low p53 Binding Protein 1 (53BP1) Expression Is Associated With Increased Local Recurrence in Breast Cancer Patients Treated With Breast-Conserving Surgery and Radiotherapy
Low temperature process to recover impaired waters
Low temperature preparation of flower-like BiOCl film and its photocatalytic activity
Low flammability, foam-like materials based on ammonium alginate and sodium montmorillonite clay
Low Pressure Performances and Applications of Gas Chromatography Using Manganese Sulfate Crystal Hydrate as Stationary Phase
Low nuclear levels of nuclear factor-kappa B are essential for KC self-induction in astrocytes: Requirements for shuttling and phosphorylation
Low voltage and hysteresis-free blue phase liquid crystal dispersed by ferroelectric nanoparticles
Low potential electrochemical polymerization of 3-chlorothiophene in mixed electrolytes of boron trifluoride diethyl etherate and trifluoroacetic acid
Low Reflectivity and High Flexibility of Tin-Doped Indium Oxide Nanofiber Transparent Electrodes
Low temperature MOSFET technology with Schottky barrier source/drain, high-K gate dielectric and metal gate electrode
Low cycle fatigue testing and simulation of Sn-8Zn-3Bi and Sn-37Pb solder joints
Low density polyethylene/clay nanocomposites modified by ethylene copolymers: Effects of functionalized segments on morphology
Low complexity multisymbol differential detection of MDPSK over flat correlated Rayleigh fading channels
Low field giant magnetocaloric effect in RNiBC (R = Er and Gd) and enhanced refrigerant capacity in its composite materials
Low temperature desalination using solar collectors augmented by thermal energy storage
Low Concentration of S100A8/9 Promotes Angiogenesis-Related Activity of Vascular Endothelial Cells: Bridges among Inflammation, Angiogenesis, and Tumorigenesis?
Low Complexity Weighted Reliability-Based Iterative Decoding of LDPC Codes
Low temperature plasma-mediated synthesis of graphene nanosheets for supercapacitor electrodes
Low Temperature Casting of Graphene with High Compressive Strength
Low writing energy and sub nanosecond spin torque transfer switching of in-plane magnetic tunnel junction for spin torque transfer random access memory
Low Write-Energy Magnetic Tunnel Junctions for High-Speed Spin-Transfer-Torque MRAM
Low expression of IGFBP-3 predicts poor prognosis in patients with esophageal squamous cell carcinoma
Low VOC bifunctional photoinitiator based on alpha-hydroxyalkylphenone structure
Low effective mass leading to high thermoelectric performance
Low temperature solvothermal synthesis of anatase TiO2 single crystals with wholly {100} and {001} faceted surfaces
Low Concentrations of o,p ';-DDT Inhibit Gene Expression and Prostaglandin Synthesis by Estrogen Receptor-Independent Mechanism in Rat Ovarian Cells
Low density carbon nanotube forest as an index-matched and near perfect absorption coating
Low and high homocysteine are associated with mortality independent of B group vitamins but interactive with cognitive status in a free-living elderly cohort
Low pretreatment serum total testosterone is associated with a high incidence of Gleason score 8-10 disease in prostatectomy specimens: data from ethnic Chinese patients with localized prostate cancer
Low NRF2 mRNA Expression in Spermatozoa from Men with Low Sperm Motility
Low Doses of Exogenous Interferon-gamma Attenuated Airway Inflammation Through Enhancing Fas/FasL-Induced CD4(+) T Cell Apoptosis in a Mouse Asthma Model
Low temperature hydrothermal synthesis and electrochemical performances of LiFePO4 microspheres as a cathode material for lithium-ion batteries
Low power consumption bipolar resistive switching characteristics of ZnO-based memory devices
Low temperature during seedling stage promotes female flower determination but not yield of Chieh-qua
Low self-esteem and the neural basis of attentional bias for social rejection cues: Evidence from the N2pc ERP component
Low molecular weight heparin decreases the permeability of glomerular endothelial cells when exposed to pre-eclampsia serum in vitro
Low Molecular Weight Hydroxyethyl Chitosan-Prednisolone Conjugate for Renal Targeting Therapy: Synthesis, Characterization and In Vivo Studies
Low temperature solid-state synthesis of nanocrystalline gallium nitride
Low Expression of IGFBP7 is Associated with Poor Outcome of Pancreatic Ductal Adenocarcinoma
Low Frequency Vibration Assisted Catalytic Aquathermolysis of Heavy Crude Oil
Low expression of FCGRIIB in macrophages of immune thrombocytopenia-affected individuals
Low frequency and intensity ultrasound induces apoptosis of brain glioma in rats mediated by caspase-3, Bcl-2, and survivin
Low Molecular Weight Heparin Inhibits Circulating Fibrocytes Differentiation by Modulating Neuronal Nitric Oxide Synthase and TGF-beta 1/Smad Pathway
Low Temperature Preparation of SrTiO3 Nanocrystalline by Hydrothermal Method
Low Electron Schottky Barrier Height of NiGe/Ge Achieved by Ion Implantation After Germanidation Technique
Low RPS14 gene expression is accompanied by p53-independent apoptosis in MDS patients with 5q-aberrations
Low Sintering Temperature Microwave Dielectric Ceramics and Composites Based on Bi2O3-B2O3
Low temperature hydrothermal synthesis of Bi2S3 nanorods using BiOI nanosheets as self-sacrificing templates
Low Power Design of the Perceptual Noise Substitution Block for Hardware AAC Decoders
Low RCS Antenna Design Using Genetic Algorithm Combined with Tabu List
Low temperature sintering and microwave dielectric properties of Bi4B2O9-added 0.25CaTiO(3)-0.75(Li1/2Nd1/2)TiO3 ceramics
Low loss fusion splicing polarization-maintaining photonic crystal fiber and conventional polarization-maintaining fiber
Low Cost CORDIC-Based Configurable FFT/IFFT Processor for OFDM Systems
Low tidal volume protects pulmonary vasomotor function from "second-hit" injury in acute lung injury rats
Low temperature growth of FePt and CoPt films on MgO(111) substrate
Low temperature deposition of SrS:Cu,F ACTFEL device by electron beam evaporation
Low Complexity Remote Radio Unit Selection and Adaptive Bit Partition Algorithm in Cooperative Distributed MIMO Systems
Low transition-temperature characteristic in VOx films grown on Si3N4/Glass substrates
Low thermal conductivity for Sr1-xLaxTiO3
Low temperature solvothermal synthesis of nanosized NiSb as a Li-ion battery anode material
Low RPS14 expression in MDS without 5q-aberration confers higher apoptosis rate of nucleated erythrocytes and predicts prolonged survival and possible response to lenalidomide in lower risk non-5q-patients
Low power saw-less WCDMA transmitter with quadrature driver amplifier and injection-locked frequency divider
Low expression level of phosphatase and tensin homolog deleted on chromosome ten predicts poor prognosis in chronic lymphocytic leukemia
Low complexity method for DOA estimation using array covariance matrix sparse representation
Low concordance of multiple variant-calling pipelines: practical implications for exome and genome sequencing
Low Annexin A1 expression predicts benefit from induction chemotherapy in oral cancer patients with moderate or poor pathologic differentiation grade
Low temperature synthesis and characterization of rutile TiO2-coated mica-titania pigments
Low conduction loss and low device stress three-level power factor correction rectifier
Low temperature nanocasting synthesis of lanthanide ions (Ln = Tb, Eu, Dy) doped CaWO4 mesoporous structure with efficiently luminescent properties
Low temperature ordering and high (001) orientation of [Fe/Pt/Cu](18) multilayer films
Low levels of foot-and-mouth disease virus 3C protease expression are required to achieve optimal capsid protein expression and processing in mammalian cells
Low temperature synthesis of hollow La2Mo2O9 spheres by the molten salt solvent method
Low Temperature Nano-Tribological Study on a Functionally Graded Tribological Coating Using Nanoscratch Tests
Low expression of dendritic cell-specific intercellular adhesion molecule-grabbing nonintegrin-related protein in non-Hodgkin lymphoma and significant correlations with lactic acid dehydrogenase and beta 2-microglobulin
Low cycle fatigue behaviour of a Cr-Mo-V matrix-type high-speed steel used for cold forging
Low power CO2 NDIR sensing using a micro-bolometer detector and a micro-hotplate IR-source
Low expression of glucocorticoid receptor alpha isoform in adult immune thrombocytopenia correlates with glucocorticoid resistance
Low Rates of Repeat HIV Testing Despite Increased Availability of Antiretroviral Therapy in Rural Tanzania: Findings from 2003-2010
Low concentrations of the recombinant toxin protein rLj-RGD3 suppress TNF-alpha-induced human renal carcinoma cell invasion
Low frequency needlepoint-shape metamaterial absorber based on magnetic medium
Low Expression of CyclinH and Cyclin-Dependent Kinase 7 Can Decrease the Proliferation of Human Esophageal Squamous Cell Carcinoma
Low incidence of Epstein-Barr virus-positive diffuse large B-cell lymphoma of the elderly in Tianjin, northern China
Low dielectric permittivity and high thermal stability composites based on crosslinkable poly (arylene ether nitrile) and hollow glass microsphere
Low temperature synthesis and SERS application of silver molybdenum oxides
Low glucose promotes CD133mAb-elicited cell death via inhibition of autophagy in hepatocarcinoma cells
Low temperature oxidation mechanisms of nanocrystalline magnetite thin film
Low penetrance susceptibility to glioma is caused by the TP53 variant rs78378222
Low Apgar scores in neonates with prenatal antidepressant exposure
Low cross-talk polarization splitter based on photonic crystal waveguide with tunable air hole arrays
Low SP1 Expression Differentially Affects Intestinal-Type Compared with Diffuse-Type Gastric Adenocarcinoma
Low nitrogen-induced expression of cyclophilin in Nicotiana tabacum
Low expression of LOC285194 is associated with poor prognosis in colorectal cancer
Low frequency of filaggrin null mutations in Croatia and their relation with allergic diseases
Low concentrations of lidocaine for inguinal hernia repair under local infiltration anaesthesia
Low Circulating Protein C Levels Are Associated with Lower Leg Ulcers in Patients with Diabetes
Low dose LPS does not increase TLR4 expression on monocytes in a human in vivo model
Low adhesion receptor levels on circulating platelets in patients with lymphoproliferative diseases before receiving Navitoclax (ABT-263)
Low expression of the putative tumour suppressor spinophilin is associated with higher proliferative activity and poor prognosis in patients with hepatocellular carcinoma
Low temperature degradation of Al2O3-doped 3Y-TZP sintered at various temperatures
Low vitamin D serum level is inversely associated with eczema in children and adolescents in Germany
Low folate status enhances pregnancy changes in plasma betaine and dimethylglycine concentrations and the association between betaine and homocysteine
Low sex hormone-binding globulin is associated with hypertension: a cross-sectional study in a Swedish population
Low Prevalence of Breastfeeding Initiation within the First Hour of Life in a Rural Area of Sichuan Province, China
Low prevalence of methicillin-resistant Staphylococcus aureus nasal carriage in urban and rural community settings in Bolivia and Peru
Low volume fraction in situ (Ti5Si3 + Ti2C)/Ti hybrid composites with network microstructure fabricated by reaction hot pressing of Ti-SiC system
Low BDNF is associated with cognitive deficits in patients with type 2 diabetes
Low Rates of Treatment Failure in Children Aged 2-59 Months Treated for Severe Pneumonia: A Multisite Pooled Analysis
Low Levels of Mannan-Binding Lectin or Ficolins Are Not Associated with an Increased Risk of Cytomegalovirus Disease in HIV-Infected Patients
Low signal-to-noise event detection based on waveform stacking and cross-correlation: application to a stimulation experiment
Low body weight gain, low white blood cell count and high serum ferritin as markers of poor nutrition and increased risk for preterm delivery
Low self-esteem elicits greater mobilization of attentional resources toward emotional stimuli
Low expression of TFPI-2 associated with poor survival outcome in patients with breast cancer
Low level laser therapy (AlGaInP) applied at 5J/cm(2) reduces the proliferation of Staphylococcus aureus MRSA in infected wounds and intact skin of rats
Low Self-Control Promotes the Willingness to Sacrifice in Close Relationships
Low transmittance ICF capsule geometric parameters measurement using laser differential confocal technique
Low cycle fatigue behavior of the textured AZ31B magnesium alloy under the asymmetrical loading
Low Molecular Weight Fucoidan against Renal Ischemia-Reperfusion Injury via Inhibition of the MAPK Signaling Pathway
Low temperature magnetic field effects on the efficiency of aluminium tris(8-hydroxyquinoline) based organic light emitting diodes in the absence of magnetoresistance
Low Concentrations of Metformin Selectively Inhibit CD133(+) Cell Proliferation in Pancreatic Cancer and Have Anticancer Action
Low Divergence of Clonorchis sinensis in China Based on Multilocus Analysis
Low Serum Creatine Kinase Levels in Breast Cancer Patients: A Case-Control Study
Low energy three-dimensional hydrocarbon crystal from cold compression of benzene
Low hysteresis and large room temperature magnetocaloric effect of Gd5Si2.05-xGe1.95-xNi2x (2x=0.08, 0.1) alloys
Low memory and low complexity iterative schemes for a nonsymmetric algebraic Riccati equation arising from transport theory
Low Complexity Image/Video Super Resolution Using Edge and Non local Self-Similarity Constraint
Low temperature sintering and microwave dielectric properties of Li2ZnSiO4 ceramics with ZB glass
Low Radiation Dose with Triple-Rule-Out CT Angiography in Diagnosis of Acute Chest Pain
Low substrate temperature fabrication of high-performance metal oxide thin-film by magnetron sputtering with target self-heating
Low complexity image interpolation method based on path selection
Low Expression of miR-196b Enhances the Expression of BCR-ABL1 and HOXA9 Oncogenes in Chronic Myeloid Leukemogenesis
Low expression of Mfn2 is associated with mitochondrial damage and apoptosis in the placental villi of early unexplained miscarriage
Low insertion loss wideband bandpass filter with six transmission zeros
Low band-gap modulation of isoindigo-based copolymers toward high open-circuit voltage of polymer solar cells
Low Resistivity Tin-Doped Copper Nanowires
Low Prevalence of HPV in Male Sexual Partners of HR-HPV Infected Females and Low Concordance of Viral Types in Couples in Eastern Guangdong
Low temperature synthesis of wurtzite zinc sulfide (ZnS) thin films by chemical spray pyrolysis
Low driving voltage in an organic light-emitting diode using MoO3/NPB multiple quantum well structure in a hole transport layer
Low repetition rate broadband high energy and peak power nanosecond pulsed Yb-doped fiber amplifier
Low temperature SiNx:H films deposited by inductively coupled plasma for solar cell applications
Low temperature synthesis of Zn2GeO4 nanorods and their photoluminescence
Low Propagation Delay Load-Balanced 4 x 4 Switch Fabric IC in 0.13-mu m CMOS Technology
Low dose histone deacetylase inhibitor, LBH589, potentiates anticancer effect of docetaxel in epithelial ovarian cancer via PI3K/Akt pathway in vitro
Low Capacitance Through-Silicon-Vias With Uniform Benzocyclobutene Insulation Layers
Low temperature rate constants for the N(S-4) + CH(X-2 Pi(r)) reaction. Implications for N-2 formation cycles in dense interstellar clouds
Low power W:AlOx/WOx bilayer resistive switching structure based on conductive filament formation and rupture mechanism
Low dose risperidone attenuates cue-induced but not heroin-induced reinstatement of heroin seeking in an animal model of relapse
Low Dielectric Loss and Good Dielectric Thermal Stability of xNd(Zn1/2Ti1/2)O-3(1-x)Ba0.6Sr0.4TiO3 Thin Films Fabricated by Sol-Gel Method
Low dispersion finite volume scheme based on reconstruction with minimized dispersion and controllable dissipation
Low Fe-doped Bi2O3 photocatalyst with long wavelength response: Crystalline transition and mechanisms by first-principles calculation
Low temperature solution-processed high performance photodiode based on Si-ZnO core-shell structure
Low temperature direct wafer bonding of GaAs to Si via plasma activation
Low Specific Contact Resistance of Gallium Zinc Oxide Prepared by Atomic Layer Deposition Contact on p(+)-GaAs for High-Speed Near-Infrared Light-Emitting Diode Applications
Low Frequency Electroacupuncture Alleviated Spinal Nerve Ligation Induced Mechanical Allodynia by Inhibiting TRPV1 Upregulation in Ipsilateral Undamaged Dorsal Root Ganglia in Rats
Low Order Nonconforming Expanded Characteristic-Mixed Finite Element Method for the Convection-Diffusion Problem
Low Complexity Decoder Design for Non-binary LDPC Coded MIMO System Using Quasi-Orthogonal STBC
Low temperature sintering and properties of lead-free (Ba0.85Ca0.15)(Zr0.1Ti0.9)O-3 ceramics with Ba(Cu0.5W0.5)O-3 addition
Low Dislocation Densities of Nitride-Based Light-Emitting Diodes with a Preflow of NH3 Source before Growth of AlN Buffer Layer
Low space-complexity digit-serial dual basis systolic multiplier over Galois field GF(2(m)) using Hankel matrix and Karatsuba algorithm
Low eddy loss axial hybrid magnetic bearing with gimballing control ability for momentum flywheel
Low Bone Mineral Density in Chinese Adults with Nonalcoholic Fatty Liver Disease
Low Incidence of Miscarriage Induced by the Scent of Male Littermates of Original Mates: Male Kinship Reduces the Bruce Effect in Female Mice, Mus musculus
Low temperature hydrolysis of carbonyl sulfide using Zn-Al hydrotalcite-derived catalysts
Low rank approximation method for efficient Green's function calculation of dissipative quantum transport
Low temperature solvothermal synthesis, optical and electric properties of tetragonal phase BaTiO3 nanocrystals using BaCO3 powder
Low tumor blood flow assessed with perfusion CT correlates with lymphatic involvement in patients with stage T1b non-small cell lung cancer
Low dose macrolide administration for long term is effective for otitis media with effusion in children
Low HDL-C predicts risk and PCI outcomes in the Han Chinese population
Low voltage, high performance inkjet printed carbon nanotube transistors with solution processed ZrO2 gate insulator
Low voltage and high contrast blue phase liquid crystal with red-shifted Bragg reflection
Low temperature synthesis of Li5La3Nb2O12 with cubic garnet-type structure by sol-gel process
Low Temperature Improvement Method on Zn:SiOx Resistive Random Access Memory Devices
Low Testosterone and Hyperkinetic Blood Pressure Responses in a Cohort of South African Men: The SABPA Study
Low RIN1 Expression in HCC Is Associated With Tumor Invasion and Unfavorable Prognosis
Low Rectal Cancer: Classification and Standardization of Surgery
Low threshold and coherent random lasing from dye-doped cholesteric liquid crystals using oriented cells
Low Percolation Threshold Carbon-Black/Nitrile-Butadiene-Rubber Composites and Their Electromagnetic Shielding Effects
Low sidelobe robust imaging in random frequency-hopping wideband radar based on compressed sensing
Low ankle-brachial index and risk of stroke
Low temperature PAH formation in diesel combustion
Low 3rd trimester haemoglobin level and the risk of post-term pregnancy
Low leakage 3xVDD-tolerant ESD detection circuit without deep N-well in a standard 90-nm low-voltage CMOS process
Low physical activity in patients with type 2 diabetes: The role of obesity
Low density supercritical fluids precipitation of 9-cis and all trans-beta-carotenes enriched particulates from Dunaliella salina
Low calcium dialysate combined with CaCO3 in hyperphosphatemia in hemodialysis patients
Low Interfacial Tension Behavior Between Organic Alkali/Surfactant/Polymer System and Crude Oil
Low neutral genetic variability in a specialist puffin hunter: the Norwegian Lundehund
Low temperature heat capacity Study of Fe(PO3)(3) and Fe2P2O7
Low frequency electric polarizability and zeta-potential of Escherichia coli HB101 (K-12) cells during inactivation with ethanol
Low dose naloxone attenuates the pruritic but not anorectic response to rimonabant in male rats
Low pressure plasmachemical processing of multi-walled carbon nanotubes for the production of polyurethane composite films with improved mechanical properties
Low frequency of H3.3 mutations and upregulated DAXX expression in MDS
Low cost soft error hardened latch designs for nano-scale CMOS technology in presence of process variation
Low temperature Si/Si wafer direct bonding using a plasma activated method
Low root reserve accumulation during drought may lead to winter mortality in poplar seedlings
Low temperature preparation of Cr-doped rutile pigments with good colour properties
Low temperature CO oxidation on Ni-promoted CuO-CeO2 catalysts
Low Voltage and Low Power Current-Mode Divider and 1/X Circuit Using MOS Transistor in Subthreshold
Low Latency Systolic Montgomery Multiplier for Finite Field GF(2(m)) Based on Pentanomials
Low temperature magnetic ground state in bulk Co0.3Zn0.7Fe2O4 spinel ferrite system: Neutron diffraction, magnetization and ac-susceptibility studies
Low temperature heat capacity study of Fe3PO7 and Fe-4(P2O7)(3)
Low temperature heat capacity study of FePO4 and Fe-3(P2O7)(2)
Low temperature electrical resistivity of polycrystalline La0.67Sr0.33MnO3 thin films
Low Lung Function and Risk of Type 2 Diabetes in Japanese Men: The Toranomon Hospital Health Management Center Study 9 (TOPICS 9)
Low prefrontal PSA-NCAM confers risk for alcoholism-related behavior
Low temperature mechanical properties of as-extruded Mg-10Gd-3Y-0.5Zr magnesium alloy
Low lattice thermal conductivity suppressed by Sr-deficiency in Sr0.9Ca0.1Si2
Low operating voltage bilayer organic light emitting diodes using electrochemically synthesized and p-doped starburst-polymer as hole transport layer
Low dielectric constant nanoporous silica/PMMA nanocomposites with improved thermal and mechanical properties
Low blood counts: immune mediated, idiopathic, or myelodysplasia
Low temperature plasma vapor treatment of thermo-sensitive poly(N-isopropylacrylamide) and its application
Low TPA and free-carrier effects in silicon nanocrystal-based horizontal slot waveguides
Low temperature direct bonding mechanisms of tetraethyl orthosilicate based silicon oxide films deposited by plasma enhanced chemical vapor deposition
Low Entomological Impact of New Water Supply Infrastructure in Southern Vietnam, with Reference to Dengue Vectors
Low level expression of prokaryotic tzs gene enhances growth performance of transgenic poplars
Low level laser arrests abdominal aortic aneurysm by collagen matrix reinforcement in apolipoprotein E-deficient mice
Low folate and vitamin B12 nourishment is common in Omani children with newly diagnosed autism
Low temperature thermoelastic and structural properties of LaGaO3 perovskite in the Pbnm phase
Low impact of climate change on species composition of a central European lowland beech forest community
Low Levels of Circulating Troponin as an Intermediate Phenotype in the Pathway to Heart Failure
Low Resolution Solution Structure of HAMLET and the Importance of Its Alpha-Domains in Tumoricidal Activity
Low back pain and lumbar radiculopathy as harbingers of acute myeloid leukemia recurrence in a patient with myeloid sarcoma
Low energy secondary electrons ejected by metastable helium (He*) beam: Observation of He* spin dependence
Low speckle laser illuminated projection system with a vibrating diffractive beam shaper
Low frequency electromagnetic oscillations in dense degenerate electron-positron pair plasma, with and without ions
Low Specific Contact Resistivity to n-Ge and Well-Behaved Ge n(+)/p Diode Achieved by Implantation and Excimer Laser Annealing
Low Reynolds-number effect on the turbulent natural convection in an enclosed 3D tall cavity
Low dispersion surface plasmon-polaritons on deep silver gratings
Low Threshold Lasing of GaN-Based VCSELs With Sub-Nanometer Roughness Polishing
Low Grade Gliomas in Eloquent Locations - Implications for Surgical Strategy, Survival and Long Term Quality of Life
Low frequency of a decreased ankle brachial index and associated conditions in the practice of internal medicine in a Turkish population sample
Low resistivity of N-doped Cu2O thin films deposited by if-magnetron sputtering
Low temperature rate constants for the N+CN -%26gt; N-2+C reaction: two-dimensional quantum capture calculations on an accurate potential energy surface
Low temperature and High deposition rate fabricating a-Si: H thin films and solar cells
Low levels of Cs-134 and Cs-137 in surface seawaters around the Japanese Archipelago after the Fukushima Dai-ichi Nuclear Power Plant accident in 2011
Low temperature hydrothermal synthesis of ZnO nanodisk arrays utilizing self-assembly of surfactant molecules at solid-liquid interfaces
Low nitric oxide bioavailability upregulates renal heparin binding EGF-like growth factor expression
Low Power State Assignment Algorithm for FSMs Considering Peak Current Optimization
Low repetition rate and broad frequency tuning from a grating-coupled passively mode-locked quantum dot laser
Low aggregation magnetic polyethyleneimine complexes with different saturation magnetization for efficient gene transfection in vitro and in vivo
Low K+ promotes NF-κB/DNA binding in neuronal apoptosis induced by K+ loss
Low predictability of anthropometric indicators of obesity in metabolic syndrome (MS) risks among elderly women
Low Vitamin D3 Levels in Euthyroid Hashimoto Thyroiditis
Low Sensitivity of NS1 Protein Tests Evidenced during a Dengue Type 2 Virus Outbreak in Santos, Brazil, in 2010
Low HDL predicts differential blood pressure effects from two weight-loss approaches: a secondary analysis of blood pressure from a randomized, clinical weight-loss trial
Low temperature ceramic fuel cells using all nano composite materials
Low temperature presureless sintering behavior of hydroxyapatite powders
Low power CMOS preamplifier for neural recording applications
Low power test scheme based on segment fixing folding counter
Low pump power co-fiber RP-EDFA used in DWDM systems with ultra-long fiber span
Low loss splicing experiment of high nonlinearity photonic crystal fiber and single mode fiber
Low cost sensing system for simultaneous measurements of temperature, strain and torsion
Low computational complexity ESPRIT method
Low complexity user selection scheme for limited feedback MU-MIMO systems
Low temperature synthesis of perovskite Pb(Ni1/3Nb 2/3)O3 by hydrothermally-derived precursor
Low temperature sintering and properties of piezoelectric ceramics PSNT-Mn with LiBiO2 addition
Low temperature synthesis of lead zirconate titanate nano-particles by diethanolamine-assisted sol-gels method
Low carbon electricity market design and policy analysis
Low speed stability research of adaptive full-order observer for induction motor
Low loss fusion splicing of germanium doped core photonic crystal fiber and standard single mode fiber
Low phase noise millimeter wave monolithic integrated phase locked-loop
Low threshold narrow pulse width eye-safe intracavity optical parametric oscillator at 1573 nm
Low complexity array codes for random triple failures in distributed storage system
Low temperature molten salt-mediated preparation of porous ceramics
Low power compact GaAs PHEMT level converter for digital control logics of GaAs switches
Low frequency generation and detection of the lamb wave A0 mode using EMAT
Low power mapping for AND/XOR circuits and its application in searching the best mixed-polarity
Low cycle fatigue behavior of gray cast iron used for engine
Low complexity uplink multiuser MIMO detecting algorithm
Low overhead two-way time synchronization algorithm
Low frequency excited waveforms analysis of an electro-hydraulic vibration exciter using a 2D valve
Low temperature carburizing and the analysis of the physics-chemistry behavior of the rare earth elements
Low temperature combustion synthesis and luminescence of Sr1.93Ba0.05SiO4:Eu phosphor
Low sidelobe beamforming for millimeter wave radar seeker with conformal phased array
Low power test data compression technique based on reconfigurable MUXs network
Low elevation estimation for MIMO radar in the presence of mutual coupling error
Low cycle fatigue behavior of a new nickel-base superalloy
Low frequency SAR multi-channel equalization method based on subaperture image
Low power and high performance Zipper CMOS domino full-adder design in 45 nm technology
Low energy consumption mapping algorithm for the network-on-chip
Low dose of continuous - wave microwave irradiation did not cause temperature increase in muscles tissue adjacent to titanium alloy implants - an animal study
Low Specific Contact Resistivity to n-Ge and Well-Behaved Ge n(+)/p Diode Achieved by Multiple Implantation and Multiple Annealing Technique
Low Oxygen Tension and Synthetic Nanogratings Improve the Uniformity and Stemness of Human Mesenchymal Stem Cell Layer
Low Probability of Interception of an Advanced Noise Radar Waveform with Linear-FM
Low muscle glycogen concentration does not suppress the anabolic response to resistance exercise
Low and high birth weight as risk factors for obesity among 4 to 5-year-old Australian children: does gender matter?
Low actuation-voltage shift in MEMS switch using ramp dual-pulse
Low copy number of mitochondrial DNA (mtDNA) predicts worse prognosis in early-stage laryngeal cancer patients
Low pressure, low temperature synthesis of Mo6S3I6 molecular wires suitable for upscaling
Low temperature diamond growth by linear antenna plasma CVD over large area
Low temperature Raman spectra of cyanobutadiyne (HC5N)
Low prevalence of clopidogrel and acetylsalicylic acid resistance in patients with acute myocardial infarction and pantoprazole treatment in everyday practice
Low dose mifepristone in medical management of uterine leiomyoma - An experience from a tertiary care hospital from north India
Low contrast visual acuity testing is associated with cognitive performance in multiple sclerosis: a cross-sectional pilot study
Low drive voltage optical phase modulator with novel InGaAlAs/InAlAs multiple-quantum-barrier based n-i-n heterostructure
Low Mole Ratio Urea-Melamine-Formaldehyde Resins Entailing Increased Methylene-Ether Group Contents and Their Formaldehyde Emission Potentials of Wood Composite Boards
Low Carbon-Economy Development: China's Pattern and Policy Selection
Low Cost Amorphous Silicon Intrinsic Layer for Thin-Film Tandem Solar Cells
Low band-gap benzothiadiazole conjugated microporous polymers
Low Molecular Weight Heparin Relieves Experimental Colitis in Mice by Downregulating IL-1 beta and Inhibiting Syndecan-1 Shedding in the Intestinal Mucosa
Low temperature air plasma jet generated by syringe needle-ring electrodes dielectric barrier discharge at atmospheric pressure
Low uric acid levels in patients with Parkinson's disease: evidence from meta-analysis
Low Tropospheric Layers Over Reunion Island in Lidar-Derived Observations and a High-Resolution Model
Low Energy Characterization of Caliste HD, a Fine Pitch CdTe-Based Imaging Spectrometer
Low Bias Negative Differential Resistance Behavior in Carbon/Boron Nitride Nanotube Heterostructures
Low dose tomographic fluoroscopy: 4D intervention guidance with running prior
Low Vitamin D Serum Concentration Is Associated With High Levels of Hepatitis B Virus Replication in Chronically Infected Patients
Low Oxygen Tension is Critical for the Culture of Human Mesenchymal Stem Cells with Strong Osteogenic Potential from Haemarthrosis Fluid
Low temperature pack aluminising kinetics of nickel electroplated on creep resistant ferritic steel
Low frequency KRAS mutations in colorectal cancer patients and the presence of multiple mutations in oncogenic drivers in non-small cell lung cancer patients
Low Birthweight (LBW) and Neonatal Hyperbilirubinemia (NNH) in an Indian Cohort: Association of Homocysteine, Its Metabolic Pathway Genes and Micronutrients as Risk Factors
Low Power Design of Asynchronous Datapath for LDPC Decoder
Low papillary structures in lepidic lung adenocarcinoma: any relationship with micropapillary lung adenocarcinoma?
Low power logic BIST with high test effectiveness
Low crystallinity VOOH hollow microspheres as an outstanding high-rate and long-life cathode for sodium ion batteries
Low Grade Inflammation and ECG Left Ventricular Hypertrophy in Urban African Males: The SABPA Study
Low Cycle Fatigue Behavior of Stress-Aged TB3 Alloy
Low differentiated microvascular density and low expression of platelet-derived growth factor-BB (PDGF-BB) predict distant metastasis and poor prognosis in clear cell renal cell carcinoma
Low temperature synthesis of Yb doped SrCeO3 powders by gel combustion process
Low frequency phonon model in YxPr1-xBa2Cu3O7 system
Low noise amplifier with active feedback structure for implantable neural recording
Low temperature grown GaNAsSb: A promising material for photoconductive switch application
Low Stability and a Conserved N-Glycosylation Site Are Associated with Regulation of the Discoidin Domain Receptor Family by Glucose via Post-Translational N-Glycosylation
Low molecular weight heparin prevents CLP-Induced acute lung injury in rats by anti-inflammatory coagulation
Low Complexity Fast Search Method for MP-MLQ and ACELP in G.723.1 Codec
Low cycle fatigue behavior of laser melting deposited TC18 titanium alloy
Low Intensity Ultrasound Promotes the Sensitivity of Rat Brain Glioma to Doxorubicin by Down-Regulating the Expressions of P-Glucoprotein and Multidrug Resistance Protein 1 In Vitro and In Vivo
Low transverse momentum heavy quark pair production to probe gluon tomography
Low temperature fabrication of nanoflower arrays of rutile TiO2 on mica particles with enhanced photocatalytic activity
Low expression of long noncoding RNA GAS6-AS1 predicts a poor prognosis in patients with NSCLC
Low intensities of red deer browsing constrain rowan growth in mature boreal forests of western Norway
Low Frequency Damage Analysis of Electric Pylon Model by Fuzzy Logic Application
Low temperature sintering and microwave dielectric properties of Li2TiO3-Li2WO4 composite ceramics
Low dipolar interactions in dense aggregates of aligned magnetic nanowires
Low loss 40 Gbit/s silicon modulator based on interleaved junctions and fabricated on 300 mm SOI wafers
Low plasma levels of cholecalciferol and 13-cis-retinoic acid in tuberculosis: Implications in host-based chemotherapy
Low Birth Weight is Associated with Lower Respiratory Tract Infections in Children with Hand, Foot, and Mouth Disease
Low temperature sintering of high performance KNN-based lead-free piezoelectric ceramic using BCBM frit as sintering aid
Low expression of mixed lineage kinase domain-like protein is associated with poor prognosis in ovarian cancer patients
Low Voltage Low Power Quadrature LC Oscillator Based on Back-gate Superharmonic Capacitive Coupling
Low temperature, solution-processed alumina for organic solar cells
Low temperature synthesis of ZnIn2S4 microspheres as a visible light photocatalyst for selective oxidation
Low temperature preparation of alpha-FeOOH/reduced graphene oxide and its catalytic activity for the photodegradation of an organic dye
Low Cycle Fatigue and Creep-Fatigue Behavior of Alloy 617 at High Temperature
Low Temperature Combustion Synthesis and Electrochemical Performance of xLi(2)MnO(3)-(1-x)LiNi0.7Co0.3O2
Low atmospheric density measurement based on Rayleigh scattering of an ultraviolet laser
Low expression of cyclic amp response element modulator-1 can increase the migration and invasion of esophageal squamous cell carcinoma
Low bit-rate information hiding method based on search-order-coding technique
Low paternal dietary folate alters the mouse sperm epigenome and is associated with negative pregnancy outcomes
Low Osteogenic Differentiation Potential of Placenta-Derived Mesenchymal Stromal Cells Correlates with Low Expression of the Transcription Factors Runx2 and Twist2
Low loading platinum nanoparticles on reduced graphene oxide-supported tungsten carbide crystallites as a highly active electrocatalyst for methanol oxidation
Low dose naltrexone (LDN) enhances maturation of bone marrow dendritic cells (BMDCs)
Low power consumption resistance random access memory with Pt/InOx/TiN structure
Low positive yield from routine inclusion of the brain in whole-body F-18-FDG PET/CT imaging for noncerebral malignancies: results from a large population study
Low seroprevalence of hepatitis E virus infection in pregnant women in Yunnan, China
Low temperature synthesis of spindle-like ZnO nanostructures under microwave irradiation
Low cycle fatigue behavior of die cast Mg-Al-Mn-Ce magnesium alloy
Low cycle fatigue behavior under asymmetric loading of two AZ31B magnesium alloys with different microstructures and textures
Low cycle fatigue behavior of extruded AZ31B magnesium alloy
Low Serum retinol-binding protein-4 levels in acute exacerbations of chronic obstructive pulmonary disease at intensive care unit admission is a predictor of mortality in elderly patients
Low temperature solid oxide fuel cells with proton-conducting Y:BaZrO3 electrolyte on porous anodic aluminum oxide substrate
Low Prices Are Just the Beginning: Price Image in Retail Management
Low Serum Magnesium Level Is Associated with Microalbuminuria in Chinese Diabetic Patients
Low complexity sparse noise reduction method for Loran-C skywave delay estimation
Low back pain development response to sustained trunk axial twisting
Low Coseismic Shear Stress on the Tohoku-Oki Megathrust Determined from Laboratory Experiments
Low Coseismic Friction on the Tohoku-Oki Fault Determined from Temperature Measurements
Low Temperature Sintering Process of Si3N4 Ceramics with Li2O as Sintering Additive
Low temperature sintering of high permittivity BaTiO3 based X8R ceramics doped with Li2O-Bi2O3-B2O3 frit
Low cycle fatigue and ratcheting properties of steel 40Cr under stress controlled tests
Low vitamin D status is associated with reduced muscle mass and impaired physical performance in frail elderly people
Low Resistivity GaN-Based Polarization-Induced Tunnel Junctions
Low temperature impact toughness and fracture mechanism of cast QT400-18L ductile iron with different Ni additions
Low concentration of metformin induces a p53-dependent senescence in hepatoma cells via activation of the AMPK pathway
Low temperature thermal conductivity of aluminum alloy 5056
Low rank approximation of the symmetric positive semidefinite matrix
Low Complexity Depth Coding Assisted by Coding Information From Color Video
Low temperature synthesis and formation mechanism of carbon encapsulated nanocrystals by electrophilic oxidation of ferrocene
Low Body Mass Index and Blood Loss in Primary Total Hip Arthroplasty: Results from 236 Consecutive Ankylosing Spondylitis Patients
Low CADM2 expression predicts high recurrence risk of hepatocellular carcinoma patients after hepatectomy
Low temperature synthesis and optical property of ZnS nanotubes
Low dimensional cyano-bridged heterobimetallic M-Fe-III (M = Ni-II, Cu-II) complexes constructed from Mer-[Fe-III(qcq)(CN)(3)](-) building blocks: syntheses, structures and magnetic properties
Low Multilinear Rank Approximation of Tensors and Application in Missing Traffic Data
Low Glucose Utilization and Neurodegenerative Changes Caused by Sodium Fluoride Exposure in Rat's Developmental Brain
Low Temperature Dynamic Compression Properties of Ti40Zr25Ni8Cu9Be18 Bulk Amorphous Alloy
Low Prolactin Is Associated with Sexual Dysfunction and Psychological or Metabolic Disturbances in Middle-Aged and Elderly Men: The European Male Aging Study (EMAS)
Low skeletal muscle mass is associated with insulin resistance, diabetes, and metabolic syndrome in the Korean population: The Korea National Health and Nutrition Examination Survey (KNHANES) 2009-2010
Low cost aluminium foil platforms for rapid mass spectrometric differentiation of the fungal pathogen Aspergillus niger mycelium and spores by in situ gold nanosphere accelerated microwave digestion
Low circulating adiponectin levels in women with polycystic ovary syndrome: an updated meta-analysis
Low threshold random lasing in DDPDLCs, DDPDLC@ZnO nanoparticles and dye solution@ZnO nanoparticle capillaries
Low immunogenicity of allogeneic human umbilical cord blood-derived mesenchymal stem cells in vitro and in vivo
Low Temperature Aqueous Electrodeposited TiOx, Thin Films as Electron Extraction Layer for Efficient Inverted Organic Solar Cells
Low back pain tied to spinal endometriosis
Low serum adropin is associated with coronary atherosclerosis in type 2 diabetic and non-diabetic patients
Low Cycle Fatigue Behavior of Secondary Aging Treated TB3 Alloy Cold Preformed
Low frequency wide bandwidth MEMS energy harvester based on spiral-shaped PVDF cantilever
Low Expression of MicroRNA-126 is Associated with Poor Prognosis in Colorectal Cancer
Low Concentration of Quercetin Antagonizes the Cytotoxic Effects of Anti-Neoplastic Drugs in Ovarian Cancer
Low birth weight and environmental tobacco smoke increases the risk of wheezing in adolescents: a retrospective cohort study
Low expression of Mig-6 is associated with poor survival outcome in NSCLC and inhibits cell apoptosis via ERK-mediated upregulation of Bcl-2
Low luminosity Type II supernovae - II. Pointing towards moderate mass precursors
Low light intensity effects on the growth, photosynthetic characteristics, antioxidant capacity, yield and quality of wheat (Triticum aestivum L.) at different growth stages in BLSS
Low Counts of gamma delta T Cells in Peritumoral Liver Tissue are Related to More Frequent Recurrence in Patients with Hepatocellular Carcinoma after Curative Resection
Low frequency of TERT promoter mutations in a large cohort of gallbladder and gastric cancers
Low Light Stress Down-Regulated Rubisco Gene Expression and Photosynthetic Capacity During Cucumber (Cucumis sativus L.) Leaf Development
Low temperature sintering and microwave dielectric properties of Li2ZnTi3O8 ceramics doped with ZnO-La2O3-B2O3 glass
Low temperature magnetic investigation of Fe3O4 nanoparticles filled into multiwalled carbon nanotubes
Low temperature sintering and microwave dielectric properties of CaSiO3-Al2O3 ceramics for LTCC applications
Low Complexity Minimum Mean Square Error Channel Estimation for Adaptive Coding and Modulation Systems
Low expression of let-7 predicts poor prognosis in patients with multiple cancers: a meta-analysis
Low tube voltage and low contrast material volume cerebral CT angiography.
Low temperature anodic nitriding of AISI 304 austenitic stainless steel
Low density lipoprotein induces upregulation of vasoconstrictive endothelin type B receptor expression
Low Oxygen Tension and Relative Defined Culture Medium with 3, 4-Dihydroxyflavone are Beneficial for Yak-Bovine Interspecies Somatic Cell Nuclear Transfer Embryo
Low temperature synthesis of reduced titanium oxide nanotube arrays: Crystal structure transformation and enhanced field emission
Low temperature synthesis of high electrochemical performance Co3O4 nanoparticles for application in supercapacitor
Low and high linear energy transfer radiation sensitization of HCC cells by metformin
Low levels of PRB3 mRNA are associated with dopamine-agonist resistance and tumor recurrence in prolactinomas
Low Complexity Direction and Doppler Frequency Estimation for Bistatic MIMO Radar in Spatial Colored Noise
Low dielectric loss Ba(0.6)Sr(0.4)ATiO(3)/MgTiO3 composite thin films prepared by a sol-gel process
Low light level image target detection based on texture saliency
Low central venous pressure versus acute normovolemic hemodilution versus conventional fluid management for reducing blood loss in radical retropubic prostatectomy: a randomized controlled trial
Low Voltage Low Cost Quadrature Oscillator Based on Active Inductor
Low temperature CO sensor based on cataluminescence from plasma-assisted catalytic oxidation on Ag doped alkaline-earth nanomaterials
Low cost and flexible mesh-based supercapacitors for promising large-area flexible/wearable energy storage
Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition
Low temperature chemical reduction of fusional sodium metasilicate nonahydrate into a honeycomb porous silicon nanostructure
Low conversion loss full E-band seventh-harmonic mixer with compact filter
Low temperature hydrogen plasma assisted chemical vapor generation for Atomic Fluorescence Spectrometry
Low temperature growth of highly conductive boron-doped germanium thin films by electron cyclotron resonance chemical vapor deposition
Low temperature and high frequency effects on polymer-stabilized blue phase liquid crystals with large dielectric anisotropy
Low temperature purification method for the determination of abamectin and ivermectin in edible oils by liquid chromatography-tandem mass spectrometry
Low temperature fabrication of high performance p-n junction on the Ti foil for use in large-area flexible dye-sensitized solar cells
Low pressure solubilities of CO2 in five fatty amine polyoxyethylene ethers
Low Serum Levels of Zinc, Copper, and Iron as Risk Factors for Osteoporosis: a Meta-analysis
Low False-Positives in an mLumin-Based Bimolecular Fluorescence Complementation System with a Bicistronic Expression Vector
Low thermal conductivity in ultrathin carbon nanotube (2,1)
Low Dose ZD7288 Attenuates the Ischemia/Reperfusion-Induced Impairment of Long-Term Potentiation Induction at Hippocampal Schaffer Collateral-CA1 Synapses
Low Power FSK Receiver Using an Oscillator-Based Injection-Locked Frequency Divider
Low Electrode Contact Resistance in Pentacene-Based Thin-Film Transistors by Inserting F-4-TCNQ between Pentacene and Au
Low Conservative Criteria for Robust Consensus of Multiagent Systems with Delays, Disturbances, and Topologies Uncertainties
Low temperature preparation of tungsten nanoparticles from molten salt
Low observability trajectory planning for stealth aircraft to evade radars tracking
Low temperature behavior of nonequilibrium multilevel systems
Low testosterone levels in women with diminished ovarian reserve impair embryo implantation rate: a retrospective case-control study
Low dielectric and thermally stable hybrid ternary composites of hyperbranched and linear polyimides with SiO2
Low quiescent current linear regulator using combination structure of bandgap and error amplifier
Low circulating level of IGF-1 is a distinct indicator for the development of cardiovascular disease caused by combined hyperglycemia and dyslipidemia
Low temperature route synthesis of SiC-Al2O3 hetero-structural nanofibers
Low Dose of Oleanolic Acid Protects against Lithocholic Acid-Induced Cholestasis in Mice: Potential Involvement of Nuclear Factor-E2-Related Factor 2-Mediated Upregulation of Multidrug Resistance-Associated Proteins
Low leakage current in (Bi0.95La0.05)(2)NiMnO6 double-perovskite thin films prepared by chemical solution deposition
Low Mach number limit of non-isentropic magnetohydrodynamic equations in a bounded domain
Low temperature sintering of Li-2(Mg0.3Zn0.7)Ti3O8-0.12TiO(2) microwave dielectric ceramics with controllable grain size
Low FODMAP Diet in the Treatment of Irritable Bowel Syndrome: Is It the End of the Road or the Beginning of a Journey?
Low Preoperative Fibrinogen Plasma Concentration Is Associated With Excessive Bleeding After Cardiac Operations
Low temperature sensitive intensity-interrogated magnetic field sensor based on modal interference in thin-core fiber and magnetic fluid
Low Frequency Ultra-Thin Compact Metamaterial Absorber Comprising Split-Ring Resonators
Low power adiabatic logic based on FinFETs
Low Vacuum Annealing of Cellulose Acetate on Nickel Towards Transparent Conductive CNT-Graphene Hybrid Films
Low emittance electron beam generation from a laser wakefield accelerator using two laser pulses with different wavelengths
Low night temperatures inhibit galactinol synthase gene expression and phloem loading in melon leaves during fruit development
Low expression of long noncoding XLOC_010588 indicates a poor prognosis and promotes proliferation through upregulation of c-Myc in cervical cancer
Low dose of interferon-alpha improves the clinical outcomes of docetaxel in patients with castration-resistant prostate cancer: A pilot study
Low resolution pedestrian detection using light robust features and hierarchical system
Low frequency broadband submarine acoustic actuator based on cymbal transducer
Low hydrothermal temperature synthesis of porous calcium silicate hydrate with enhanced reactivity SiO2
Low temperature plane strain compression of a magnesium single crystal with < c > axis constrained
Low Complexity Equalization of HCM Systems with DPFFT Demodulation over Doubly-Selective Channels
Low cycle fatigue and mechanical properties of magnesium alloy Mg-6Zn-1Y-0.6Ce-0.6Zr at different temperatures
Low Intraprostatic DHT Promotes the Infiltration of CD8+ T Cells in BPH Tissues via Modulation of CCL5 Secretion
Low temperature enhancement of alignment-induced spectral broadening of femtosecond laser pulses
Low Complexity Adaptive View Synthesis Optimization in HEVC Based 3D Video Coding
Low CO generation on tunable oxygen vacancies of non-precious metallic Cu/ZnO catalysts for partial oxidation of methanol reaction
Low temperature phosphine fumigation for postharvest control of Liriomyza huidobrensis Blanchard (Diptera: Agromyzidae) on carnation
Low molecular weight PEI-appended polyesters as non-viral gene delivery vectors
Low electric field parameters required to induce death of cancer cells
Low Adherence to Helicobacter pylori Testing in Hospitalized Patients with Bleeding Peptic Ulcer Disease
Low temperature sintering and microwave dielectric properties of Ba-3(PO4)(2)-BaWO4 composite ceramics
Low Resistivity Composite Catalyst for Hybrid Gas Purifier with DC Corona Discharge
Low power analog readout front-end electronics for time and energy measurements
Low Glass Transition Temperature Polymer Electrolyte Prepared From Ionic Liquid Grafted Polyethylene Oxide
Low iron status as a factor of increased bone resorption and effects of an iron and vitamin D-fortified skimmed milk on bone remodelling in young Spanish women
Low temperature electrical transport behavior of La0.7Ba0.3MnO3 thin Films on LaAlO3 substrates
Low dimensional cohomology of Hom-Lie algebras and q-deformed W(2,2) algebra
Low Dose of Valproate Improves Motor Function after Traumatic Brain Injury
Low Voltage Operating Field Effect Transistors with Composite In2O3-ZnO-ZnGa2O4 Nanofiber Network as Active Channel Layer
Low Cycle Fatigue Behavior and Deformation Mechanism of TWIP Steel
Low thermal conductivity in La2Zr2O7 pyrochlore with A-site partially substituted with equimolar Yb2O3 and Er2O3
Low generational polyamidoamine dendrimers to enhance the solubility of folic acid: A "dendritic effect" investigation
Low ING4 protein expression detected by paraffin-section immunohistochemistry is associated with poor prognosis in untreated patients with gastrointestinal stromal tumors
Low level detection of Benzene in Food Grade Hexane by Ultraviolet Spectrophotometry
Low adenosine triphosphate activity in CD4(+) cells predicts infection in patients with lupus nephritis
Low pH inactivation for xenotropic gamma retrovirus in recombinant human TNF-alpha, receptor immunoglobulin G and mechanism of inactivation
Low Voltage Organic/Inorganic Hybrid Complementary Inverter With Low Temperature All Solution Processed Semiconductor and Dielectric Layers
Low expression of miR-150 in pediatric intestinal Burkitt lymphoma
Low altitude atmospheric circulation characteristics of Arctic oscillation and its relation to solar activity
Low temperature dielectric relaxation in complex perovskite BaTi0.8(Ni0.5Nb0.5)(0.2)O-3 ceramics
Low vitamin D level is an independent predictor of poor outcomes in Clostridium difficile-associated diarrhea
Low critical current density for spin-transfer torque in Fe-MgO granular film at room temperature
Low Root Zone Temperature Exacerbates the Ion Imbalance and Photosynthesis Inhibition and Induces Antioxidant Responses in Tomato Plants Under Salinity
Low temperature and hydrogen atmosphere synthesis of crystalline LiBH4 and amorphous Li2B12H12 mixture for hydrogen storage
Low Protein Diet Inhibits Uric Acid Synthesis and Attenuates Renal Damage in Streptozotocin-Induced Diabetic Rats
Low loss SiGe graded index waveguides for mid-IR applications
Low background x-ray detection with Micromegas for axion research
Low Mach number limit for a model of radiative flow
Low Expression of Basic Fibroblastic Growth Factor in Mesenchymal Stem Cells and Bone Marrow of Children with Aplastic Anemia
Low thermal conductivity of amorphous Si/Si-0.Ge-75(0.25) multilayer films with Au-interlayers
Low Doses of Gamma-Irradiation Induce an Early Bystander Effect in Zebrafish Cells Which Is Sufficient to Radioprotect Cells
Low temperature preparation and characterization of (Ga1-xZnx)(N1-yOy) alloy nanostructures using electrospun nanofibers as source materials
Low Molecular Weight Hyaluronan Induces Lymphangiogenesis through LYVE-1-Mediated Signaling Pathways
Low expression of ERK signaling pathway affecting proliferation, cell cycle arrest and apoptosis of human gastric HGC-27 cells line
Low glucose promotes CD133mAb-elicited cell death via inhibition of autophagy in hepatocarcinoma cells (vol 336, pg 204, 2013)
Low temperature synthesis and photocatalytic properties of mesoporous TiO2 nanospheres
Low temperature growth of graphene on Cu-Ni alloy nanofibers for stable, flexible electrodes
Low power current-mode voltage controlled oscillator for 2.4 GHz wireless applications
Low Expression of the FoxO4 Gene may Contribute to the Phenomenon of EMT in Non-small Cell Lung Cancer
Low velocity impact response of fibre-metal laminates - A review
Low Power Motion Estimation Based on Probabilistic Computing
Low frequency noise in the unstable contact region of Au-to-Au microcontact for microelectromechanical system switches
Low Mach number limit of the full compressible Navier-Stokes-Maxwell system
Low lymphocyte-to-monocyte ratio predicts unfavorable prognosis in non-germinal center type diffuse large B-cell lymphoma
Low serum sex hormone-binding globulin is associated with nonalcoholic fatty liver disease in type 2 diabetic patients
Low temperature NH3-SCR over Zr and Ce pillared clay based catalysts
Low Catalyst Loadings for Ligand-Free Copper(I)-Oxide-Catalyzed N-Arylation of Methanesulfonamide in Water
Low heat input push-pull feeder CO2 welding process
Low genetic diversity and weak population differentiation in Firmiana danxiaensis, a tree species endemic to Danxia landform in northern Guangdong, China
Low genetic diversity and high inbreeding of the endangered yews in Central Himalaya: implications for conservation of their highly fragmented populations
Low intensity vs. self-guided Internet-delivered psychotherapy for major depression: a multicenter, controlled, randomized study
Low verbal ability predicts later violence in adolescent boys with serious conduct problems
Low band-gap copolymers derived from fluorinated isoindigo and dithienosilole: synthesis, properties and photovoltaic applications
Low temperature synthesis of lead germanate (PbGeO3)/polypyrrole (PPy) nanocomposites and their lithium storage performance
Low Vitamin D Status and Suicide: A Case-Control Study of Active Duty Military Service Members
Low Apgar scores in neonates with prenatal antidepressant exposure reply
Low Levels of Empathic Concern Predict Utilitarian Moral Judgment
Low carbohydrate diets: going against the grain
Low Resistance Thought Induction Sleep-regulating Technique (TIP3-2) Combined with Medication for Primary Insomnia: A Randomized Controlled Trial
Low rate coding and decoding scheme based on the LDPC-BCH graph
Low preparedness before the loss of a wife to cancer and the widower%26apos;s chronic pain 4-5 years later-a population-based study
Low back pain beliefs are associated to age, location of work, education and pain-related disability in Chinese healthcare professionals working in China: a cross sectional survey
Low glucose relates to greater aggression in married couples
Low Birth Weight, Small for Gestational Age and Preterm Births before and after the Economic Collapse in Iceland: A Population Based Cohort Study
Low Complexity Mode Decision for 3D-HEVC
Low probability, high impact: the implications of a break-up of China for carbon dioxide emissions
Low Loss Splicing Between Double-Clad Fiber and Photonic Crystal Fiber Using Graded Index Fiber Lens
Low frequency of male circumcision and unwillingness to be circumcised among MSM in Buenos Aires, Argentina: association with sexually transmitted infections
Low resistance and transparent Ag/AZO ohmic contact to p-GaN
Low Caregiver Health Literacy Is Associated With Higher Pediatric Emergency Department Use and Nonurgent Visits
Low back pain in 17 countries, a Rasch analysis of the ICF core set for low back pain
Low birth weight and intelligence in adolescence and early adulthood: a meta-analysis
Low health-related quality of life in school-aged children in Tonga, a lower-middle income country in the South Pacific
Low distress tolerance as an indirect risk factor for suicidal behavior: Considering the explanatory role of non-suicidal self-injury
Low driving voltage simplified tandem organic light-emitting devices by using exciplex-forming hosts
Low maternal sensitivity at 6 months of age predicts higher BMI in 48 month old girls but not boys
Low Anonymous Voting Compliance With the Novel Policy for Managing Conflicts of Interest Implemented in the 9th Version of the American College of Chest Physicians Antithrombotic Guidelines
Low Rates of Depressed Mood and Depression Diagnoses in a Clinic Review of Children and Adolescents with Autistic Disorder
Low prevalence of irritable bowel syndrome in primary health care in four Swedish counties
Low Skeletal Muscle Mass and Risk of Functional Decline in Elderly Community-Dwelling Women: The Prospective EPIDOS Study
Low dose, high dose, or no dose: better prescribing of cholinesterase inhibitors for Alzheimer's disease
Low Carbon Supplier Selection in the Hotel Industry
Low complexity block diagonalization algorithm for multiuser MIMO downlink systems
Low Fitness Partially Explains Resting Metabolic Rate Differences Between African American and White Women
Low Papanicolaou Smear Screening Rate of Women with HIV Infection: A Nationwide Population-Based Study in Taiwan, 2000-2010
Low complexity RAKE receiver for ultra-wideband communication system
Low attentional engagement makes attention network activity susceptible to emotional interference
Low Openness on the Revised NEO Personality Inventory as a Risk Factor for Treatment-Resistant Depression
Low frequency of transgene flow from Bt/CpTI rice to its nontransgenic counterparts planted at close spacing
Low Socioeconomic Status (Measured by Education) and Outcomes in Systemic Sclerosis: Data from the Canadian Scleroderma Research Group
Low carbon rural housing provision in China: Participation and decision making
Low Complexity Model Predictive Control-Single Vector-Based Approach
Low crystallized BiOCl0.75I0.25 synthesized in mixed solvent and its photocatalytic properties under simulated solar irradiation
Low level of education is associated with later stage at diagnosis and reduced survival in cutaneous malignant melanoma: A nationwide population-based study in Sweden
Low motor performance scores among overweight children: Poor coordination or morphological constraints?
Low socioeconomic status increases short-term mortality of acute myocardial infarction despite universal health coverage
Low Latency Fault Tolerance System
Low temperature synthesis of tau-zirconium hydrogenophosphate [tau-Zr(HPO4)(2)] and a new sodic form obtained by ion exchange
Low blood pressure predicts increased mortality in very old age even without heart failure: the Leiden 85-plus Study
Low Graft Function and Ongoing Hyperparathyroidism Are Closely Related to Post-Transplantation Osteoporosis
Low intensity light of different colours modifies Atlantic salmon depth use
Low energy electron attachment to platinum(II) bromide (PtBr2)
Low investment in sexual reproduction threatens plants adapted to phosphorus limitation
Low C-Reactive Protein Levels in a Traditional West-African Population Living in a Malaria Endemic Area
Low Glomerular Filtration Rate Increases Hemorrhagic Transformation in Acute Ischemic Stroke
Low pressure hydrocephalus acutely following sepsis and cardiovascular collapse
Low complexity twiddle factor multiplication with ROM partitioning in FFT processor
Low light intensity can reduce Atlantic salmon smolt quality
Low expression level of OB-Rb results from constitutive translocational attenuation attributable to a less efficient signal sequence
Low Cost Design Study of Brushless DC Motor for Electric Water Pump Application
Low mitochondrial DNA content associates with familial longevity: the Leiden Longevity Study
Low gut microbiota diversity in early infancy precedes asthma at school age
Low Distortion 50 GSamples/s Track-Hold and Sample-Hold Amplifiers
Low Levels of Vitamin D in Neuromyelitis Optica Spectrum Disorder: Association with Disease Disability
Low virulence? Clinical characteristics of Raoultella planticola bacteremia
Low Pulmonary Function in Individuals with Impaired Fasting Glucose: The 2007-2009 Korea National Health and Nutrition Examination Survey
Low power FSK transmitter using all-digital PLL for IEEE 802.15.4g application
Low temperature synthesis and pressureless sintering of nanocrystalline zirconium diboride powders
Low Levels of Peripheral CD161++CD8+Mucosal Associated Invariant T (MAIT) Cells Are Found in HIV and HIV/TB Co-Infection
Low Solubility of Calcined Phosphate: Surface Area Reduction or Chemical Composition Change?
Low bone density in breast cancer survivors in Korea: Prevalence, risk factors and associations with health-related quality of life
Low temperature crystallization of amorphous silicon by gold nanoparticle
Low pulmonary vascular compliance predisposes post-Fontan patients to protein-losing enteropathy
Low Nucleotide Variability of CYP51A1 in Humans: Meta-analysis of Cholesterol and Bile Acid Synthesis and Xenobiotic Metabolism Pathways
Low Compliance with National Guidelines for Preventing Transmission of Group 1 Nationally Notifiable Infectious Diseases in Korea
Low MHC variation in the polar bear: implications in the face of Arctic warming?
Low job satisfaction does not identify nurses at risk of future sickness absence: Results from a Norwegian cohort study
Low regularity solutions, blowup, and global existence for a generalization of Camassa-Holm-type equation
Low hepatitis B vaccine response in children with Down syndrome from Brazil
Low serum concentrations of alpha-tocopherol are associated with increased risk of hip fracture. A NOREPOS study
Low Serum Vit. B12 Level Does Not Mean Vit. B12 Deficiency - Problems Related to the Diagnosis of Vitamin B12 Deficiency
Low temperature adsorption of CO on modified, vicinal Cu(100) surfaces: A comparative study
Low computed tomography coronary artery calcium scores in familial longevity: the Leiden Longevity Study
Low altitude observations of ENA from the ring current and from the proton oval
Low Cardiorespiratory Fitness in African Americans: A Health Disparity Risk Factor?
Low temperature thermopower and electrical conductivity in highly conductive CuInO2 thin films
Low energy deuteron-induced reactions on Fe isotopes
Low cardiac output as physiological phenomenon in hibernating, free-ranging Scandinavian brown bears (Ursus arctos) - an observational study
Low Expression of Transforming Growth Factor Beta-1 in Cancer Tissue Predicts a Poor Prognosis for Patients with Stage III Rectal Cancers
Low impact weight-bearing exercise in an upright posture increases the activation of two key local muscles of the lumbo-pelvic region
Low positivity rate after systematic screening for Trichomonas vaginalis in three patient cohorts from general practitioners, STI clinic and a national population-based chlamydia screening study
Low energy spread electron beams from ionization injection in a weakly relativistic laser wakefield accelerator
Low temperature investigations and surface treatments of colloidal narrowband fluorescent nanodiamonds
Low temperature preparation of CuO nanospheres and urchin-shaped structures via hydrothermal route
Low dimensional fabrication of giant dielectric CaCu3Ti4O12 through soft e-beam lithography
Low 25-Hydroxyvitamin D Predicts the Onset of Mobility Limitation and Disability in Community-Dwelling Older Adults: The Health ABC Study
Low cost measurement setup based on a piezoelectric microphone for estimating apple bruising using Shannon entropy
Low temperature performance of LiFePO4 cathode material for Li-ion batteries
Low serum nesfatin-1 levels may be a contributing factor for monogenic obesity due to prohormone convertase 1 deficiency
Low Field Magnetic Properties of FeCo-Based Alloys
Low Prevalence of Disability Among Patients With Inflammatory Bowel Diseases a Decade After Diagnosis
Low Levels of Serum Vitamin D3 Are Associated with Autoimmune Thyroid Disease in Pre-Menopausal Women
Low temperature Ga2O3 atomic layer deposition using gallium tri-isopropoxide and water
Low body mass index in nutcracker phenomenon: an underrecognized condition
Low noise, 0.4-3 GHz cryogenic receiver for radio astronomy
Low delta O-18 zircon grains in the Neoarchean Rum Jungle Complex, northern Australia: An indicator of emergent continental crust
Low temperature grown ZnO nanotubes as smart sensing electrode for the effective detection of ethanolamine chemical
Low cycle fatigue of welded joints with aging influence
Low profile antenna radiation enhancement with novel electromagnetic band gap structures
Low pressure plasma assisted silicon nanowire growth from self organised tin catalyst particles
Low C24-OH and C22-OH sulfatides in human renal cell carcinoma
Low back pain-related beliefs and likely practice behaviours among final-year cross-discipline health students
Low and high-frequency somatosensory evoked potentials recorded from the human pedunculopontine nucleus
Low Cost Concurrent Error Masking Using Approximate Logic Circuits
Low Induction of Proinflammatory Cytokines Parallels Evolutionary Success of Modern Strains within the Mycobacterium tuberculosis Beijing Genotype
Low Current Density Driving Leads to Efficient, Bright, and Stable Green Electroluminescence
Low level light therapy by Red-Green-Blue LEDs improves healing in an excision model of Sprague-Dawley rats
Low Intensity Laser Irradiation and Growth Factors Influence Differentiation of Adipose Derived Stem Cells into Smooth Muscle Cells in a Coculture Environment over a Period of 72 Hours
Low temperature solid oxide fuel cells with hierarchically porous cathode nano-network
Low prevalence of behavioural and emotional problems among Swiss paediatric patients with inflammatory bowel disease
Low pH enhances connexin32 degradation in the pancreatic acinar cell
Low PO2 conditions induce reactive oxygen species formation during contractions in single skeletal muscle fibers
Low Intensity, High Frequency Vibration Training to Improve Musculoskeletal Function in a Mouse Model of Duchenne Muscular Dystrophy
Low dose zymosan ameliorates both chronic and relapsing experimental autoimmune encephalomyelitis
Low operational voltage and high performance organic field effect memory transistor with solution processed graphene oxide charge storage media
Low Sphingosine-1-Phosphate Impairs Lung Dendritic Cells in Cystic Fibrosis
Low plant density enhances gene dispersal in the Amazonian understory herb Heliconia acuminata
Low energy probes of physics beyond the standard model
Low flow in Germany in 2011
Low scatter and ultra-low reflectivity measured in a fused silica window
Low oxygen atmosphere facilitates proliferation and maintains undifferentiated state of umbilical cord mesenchymal stem cells in an hypoxia inducible factor-dependent manner
Low vitamin D levels are associated with atopic dermatitis, but not allergic rhinitis, asthma, or IgE sensitization, in the adult Korean population
Low to Moderate Dose Anthracycline-Based Chemotherapy Is Associated With Early Noninvasive Imaging Evidence of Subclinical Cardiovascular Disease
Low Control over Palatable Food Intake in Rats Is Associated with Habitual Behavior and Relapse Vulnerability: Individual Differences
Low temperature sintering of fluorapatite glass-ceramics
Low color distortion adaptive dimming scheme for power efficient LCDs
Low Interleukin-8 Level Predicts the Occurrence of the Postpericardiotomy Syndrome
Low awareness of adverse drug reaction reporting systems: a consumer survey
Low prevalence of cardiac siderosis in heavily iron loaded Egyptian thalassemia major patients
Low levels of HIV test coverage in clinical settings in the UK: a systematic review of adherence to 2008 guidelines
Low dose decitabine in very high risk relapsed or refractory acute myeloid leukaemia in children and young adults
Low Birth Weight and Subsequent Poor Weight Gain
Low temperature and hardening effects on photosynthetic apparatus efficiency and survival of forage grass varieties
Low temperature reduction in Ta-O and Nb-O thin films
Low but Inducible Contribution of Renal Elimination to Clearance of Propylene Glycol in Preterm and Term Neonates
Low doses of curcumin protect alcohol-induced liver damage by modulation of the alcohol metabolic pathway, CYP2E1 and AMPK
Low Plasma Glucose with Normal Finger-stick Glucose
Low Rates of Mother-to-Child HIV Transmission in a Routine Programmatic Setting in Lilongwe, Malawi
Low Serum Bicarbonate and Kidney Function Decline: The Multi-Ethnic Study of Atherosclerosis (MESA)
Low Dose Rate Radiosensitization of Hepatocellular Carcinoma In Vitro and in Patients
Low back pain among textile workers: a cross-sectional study
Low Proportion of High School Senior Athletes Receiving Recommended Immunizations
Low temperature chemically synthesized rutile TiO2 photoanodes with high electron lifetime for organic dye-sensitized solar cells
Low efficacy of cardioversion of persistent atrial fibrillation with the implantable cardioverter-defibrillator
Low temperature electrodeposition of SiOx films photoactive in water solution
Low testosterone is associated with disability in men with multiple sclerosis
Low density polyethylene - Chitosan composites
Low Dose of Carglumic Acid for Treatment of Hyperammonemia due to N-Acetylglutamate Synthase Deficiency
Low temperature dielectric studies of zinc oxide (ZnO) nanoparticles prepared by precipitation method
Low shear-rate process to obtain transparent W/O fine emulsions as functional foods
Low temperature crystal structure and magnetic properties of RAl2
Low genetic diversity and functional constraint in loci encoding Plasmodium vivax P12 and P38 proteins in the Colombian population
Low Temperature Synthesis of NH3 from Atomic N and H at the Surfaces of FeS2{100} Crystals
Low temperature spin dynamics in Cr7Ni-Cu-Cr7Ni coupled molecular rings
Low temperature high-pressure synthesis of LnNiO(3) (Ln = Eu, Gd) in molten salts
Low temperature sintering of copper biporous wicks with improved maximum capillary pressure
Low Drain Fluid Amylase Predicts Absence of Pancreatic Fistula Following Pancreatectomy
Low Pathogenic Influenza A Virus Activity at Avian Interfaces in Ohio Zoos, 2006-2009
Low back loads while walking and carrying: comparing the load carried in one hand or in both hands
Low temperature growth of high crystallinity GeSn on amorphous layers for advanced optoelectronics
Low temperature heat capacity study of Ba2TiSi2O8 and Sr2TiSi2O8
Low dystrophin levels in heart can delay heart failure in mdx mice
Low Uptake of Upfront Autologous Transplantation for Myeloma in a Jurisdiction With Universal Health Care Coverage: A Population-Based Patterns of Care Study in Australia
Low rate of recurrence of Helicobacter Pylori infection in spite of high clarithromycin resistance in Pakistan
Low CD8 T cells in neonates and infants prior to surgery, and health-care-associated infections: Prospective observational study
Low Level Fluoride Stimulates Epithelial-Mesenchymal Interaction in Oral Mucosa
Low luminance visual acuity in patients with central serous chorioretinopathy
Low socioeconomic status and mental health outcomes in colorectal cancer survivors: disadvantage? advantage? ... or both?
Low rifampicin concentrations in tuberculosis patients with HIV infection
Low Driving Voltage and High Efficiency Blue Phosphorescent OLEDs with Mixed Host System
Low temperature characteristics in amorphous indium-gallium-zinc-oxide thin-film transistors down to 10 K
Low Temperature Cu Etching Using CH4-Based Plasmas
Low Rates of Adjuvant Radiation in Patients With Nonmetastatic Prostate Cancer With High-Risk Pathologic Features
Low expression of novel lncRNA RP11-462C24.1 suggests a biomarker of poor prognosis in colorectal cancer
Low Capping Group Surface Density on Zinc Oxide Nanocrystals
Low staffing levels on intensive care affect patient survival
Low Bone Density Risk Is Higher in Exercising Women with Multiple Triad Risk Factors
Low Temperature Magnetic Behavior of Ca3Co4Ox Polycrystalline Material
Low gain threshold density of a single InGaP quantum well sandwiched by digital alloy
Low temperature catalytic steam reforming of propane-methane mixture into methane-rich gas: Experiment and macrokinetic modeling
Low melting point nanocrystalline Sn-Ag solder synthesized by a refined chemical reduction method
Low Socioeconomic Status Negatively Affects Sleep in Pregnant Women
Low Cell Gap Polymeric Liquid Crystal Lens for 2-D/3-D Switchable Auto-Stereoscopic Display
Low Temperature Partial Nitritation/Anammox in a Moving Bed Biofilm Reactor Treating Low Strength Wastewater
Low levels of knowledge on the assessment of underweight in children and adolescents among middle-grade doctors in England and Wales
Low Temperature Delayed Recombination Decay in Complex Oxide Scintillating Crystals
Low bend loss waveguides enable compact, efficient 3D photonic chips
Low serum copeptin levels in patients with obstructive sleep apnea
Low Cost, High Yield: Simulation of Obstetric Emergencies for Family Medicine Training
Low NK cell counts in peripheral blood are associated with inferior overall survival in patients with follicular lymphoma
Low Field ac Susceptibility and High Harmonics Studies in PbMo6S8 Polycrystalline Superconductor
Low Density Lipoprotein Receptor-related Protein 1 (LRP1)-mediated Endocytic Clearance of a Disintegrin and Metalloproteinase with Thrombospondin Motifs-4 (ADAMTS-4) FUNCTIONAL DIFFERENCES OF NON-CATALYTIC DOMAINS OF ADAMTS-4 AND ADAMTS-5 IN LRP1 BINDING
Low doses of radiation can enhance insect lifespans
Low Paneth cell numbers at onset of gastrointestinal graft-versus-host disease identify patients at high risk for nonrelapse mortality
Low rates of nitrogen and phosphorus as fertilizer options for maize production by smallholding farmers in drier regions of South Africa
Low Prevalence of Antiretroviral Resistance Among HIV Type 1-Positive Prisoners in the Southeast United States
Low Temperature Consolidation of Micro/Nanosilver Die-Attach Preforms
Low Temperature Combustion Optimization and Cycle-by-Cycle Variability Through Injection Optimization and Gas-to-Liquid Fuel-Blend Ratio
Low temperature crystallization behavior of multi-walled carbon nanotubes/Pb(Zr0.52Ti0.48)O-3 nanocomposite thin films through annealing in various atmosphere and duration control
Low Cost Fabrication of a Superhydrophobic V-Grooved Polymer Surface
Low Molecular Weight Procyanidins from Grape Seeds Enhance the Impact of 5-Fluorouracil Chemotherapy on Caco-2 Human Colon Cancer Cells
Low glycaemic index diet reduces seizure susceptibility in a syndrome-specific mouse model of generalized epilepsy
Low mortality in tall tropical trees
Low Complexity Methods For Discretizing Manifolds Via Riesz Energy Minimization
Low Total, Low-Density Lipoprotein, High-Density Lipoprotein, and Non-High-Density Lipoprotein Cholesterol Levels in Patients with Complex Congenital Heart Disease after Fontan Palliation
Low mtDNA genetic diversity among killer whales around New Zealand
Low density biodegradable shape memory polyurethane foams for embolic biomedical applications
Low organic carbon burial efficiency in arctic lake sediments
Low Ankle-Brachial Index and the Development of Rapid Estimated GFR Decline and CKD
Low field ac study of PZT/PVDF nano composites
Low serum levels of vitamin D in metastatic cancer patients: a case-control study
Low bandgap small molecules based on 2,2-bithiophene-3,3-dicarboximide for soluble-processed solar cells
Low Levels of High-Density Lipoprotein Cholesterol and Increased Risk of Cardiovascular Events in Stable Ischemic Heart Disease Patients A Post-Hoc Analysis From the COURAGE Trial (Clinical Outcomes Utilizing Revascularization and Aggressive Drug Evaluation)
Low prevalence of hypertension with pharmacological treatments and associated factors
Low Doses of Bovine Somatotropin Enhance Conceptus Development and Fertility in Lactating Dairy Cows
Low energy description of quantum gravity and complementarity
Low molecular weight heparin inhibits plasma thrombin generation via direct targeting of factorIXa: a rebuttal
Low temperature production and exhalation of methane from serpentinized rocks on Earth: A potential analog for methane production on Mars
Low Serum Lipocalin Levels in Patients With Iron Deficiency Anemia
Low level chlorpyrifos exposure increases anandamide accumulation in juvenile rat brain in the absence of brain cholinesterase inhibition
Low Concentrations of HIV-1 DNA at Birth Delays Diagnosis, Complicating Identification of Infants for Antiretroviral Therapy to Potentially Prevent the Establishment of Viral Reservoirs
Low temperature spectral dynamics of single molecules in ultrathin polymer films
Low Dose Influenza Virus Challenge in the Ferret Leads to Increased Virus Shedding and Greater Sensitivity to Oseltamivir
Low Production of Reactive Oxygen Species and High DNA Repair: Mechanism of Radioresistance of Prostate Cancer Stem Cells
Low and high acetate amendments are equally as effective at promoting complete dechlorination of trichloroethylene (TCE)
Low temperature and tightening torque effects on the failure response of bolted glass fiber/epoxy composite joints
Low free testosterone levels predict disease reclassification in men with prostate cancer undergoing active surveillance
Low surface free energy cyanate ester-silica hybrid (CE-SiO2) nanomaterials for low k dielectric applications
Low serum BDNF levels in depressed patients cannot be attributed to individual depressive symptoms or symptom cluster
Low temperature carbothermal and boron carbide reduction synthesis of LaB6
Low anti-RhD IgG-Fc-fucosylation in pregnancy: a new variable predicting severity in haemolytic disease of the fetus and newborn
Low temperature synthesis of Ru-Cu alloy nanoparticles with the compositions in the miscibility gap
Low level jet intensification by mineral dust aerosols
Low threshold, room-temperature microdisk lasers in the blue spectral range
Low frequency acoustic energy harvesting using PZT piezoelectric plates in a straight tube resonator
Low level laser therapy increases angiogenesis in a model of ischemic skin flap in rats mediated by VEGF, HIF-1 alpha and MMP-2
Low pressure radio frequency plasma effects on the mould control, physical quality, nutritional value, mineral content and trace element content of brown rice snack bars
Low conductive support for thermal insulation of a sample holder of a variable temperature scanning tunneling microscope
Low prevalence of most frequent pathogenic variants of six PARK genes in sporadic Parkinson's disease
Low Cost E/O and O/E Modules for Radio Over Fibre Link
Low temperature and magnetic field behaviour of the (Cr84Re16)(89.6)V-10.4 alloy
Low Amount of Salinomycin Greatly Increases Akt Activation, but Reduces Activated p70S6K Levels
Low cost wafer metrology using a NIR low coherence interferometry
Low shrub cover in alvar grasslands increases small-scale diversity by promoting the occurrence of generalist species
Low prevalence of positive interferon-gamma tests in HIV-positive long-term immigrants in Norway
Low molecular weight heparin for prevention of venous thromboembolism in patients with lower-leg immobilization
Low Omega-3 Index in Pregnancy Is a Possible Biological Risk Factor for Postpartum Depression
Low temperature near band edge recombination dynamics in ZnO nanorods
Low CD34 Dose Is Associated with Poor Survival after Reduced-Intensity Conditioning Allogeneic Transplantation for Acute Myeloid Leukemia and Myelodygplastic Syndrome
Low primary production in the Chukchi Sea shelf, 2009
Low Plasma Leptin in Cognitively Impaired ADNI Subjects: Gender Differences and Diagnostic and Therapeutic Potential
Low temperature deposited transparent conductive ITO and IZTO films for flat panel display applications
Low PIAS3 Expression in Malignant Mesothelioma Is Associated with Increased STAT3 Activation and Poor Patient Survival
Low Temperature Thermal Evaporation Process for the Synthesis of ZnO Nanowires
Low driving voltage and high power efficiency in blue phosphorescent organic light-emitting diodes using aromatic amine derivatives with diphenylsilyl linkage
Low gains in ecosystem carbon with woody plant encroachment in a South African savanna
Low Complexity and Provably Efficient Algorithm for Joint Inter and Intrasession Network Coding in Wireless Networks
Low back pain in patients with rheumatoid arthritis: Clinical characteristics and impact of low back pain on functional ability and health related quality of life
Low cycle fatigue behavior of Sanicro25 steel at room and at elevated temperature
Low pressure line shape study of nitrogen-perturbed acetylene transitions in the nu(1) + nu(3) band over a range of temperatures
Low PIP2 molar fractions induce nanometer size clustering in giant unilamellar vesicles
Low Energy Pathways and Precursor States in the Catalytic Oxidation of Water and Carbon Dioxide at Metal Surfaces and Comparisons with Ammonia Oxidation
Low temperature decomposition of hydrous hydrazine over FeNi/Cu nanoparticles
Low level methylmercury enhances CNTF-evoked STAT3 signaling and glial differentiation in cultured cortical progenitor cells
Low Mole Ratio UF and UMF Resins Entailing Uron-Type Methylene-Ether Groups and their Low Formaldehyde Emission Potentials
Low coverage Si(111)root 7 x root 3-In reconstruction: Deposition rate effect
Low Serum Triglyceride Levels as Predictors of Cardiac Death in Heart Failure Patients
Low Coronary Microcirculatory Resistance Associated With Profound Hypotension During Intravenous Adenosine Infusion Implications for the Functional Assessment of Coronary Stenoses
Low temperature viscoelasticity in nanocrystalline nickel films
Low Prevalence of Transmitted HIV Type 1 Drug Resistance Among Antiretroviral-Naive Adults in a Rural HIV Clinic in Kenya
Low dose radiation therapy (LD-RT) is effective in the treatment of arthritis: Animal model findings
Low Signal-Attenuation Negative Group-Delay Network Topologies Using Coupled Lines
Low molecular weight dual inhibitors of factor Xa and fibrinogen binding to GPIIb/IIIa with highly overlapped pharmacophores
Low bone mineral density is related to high physiological levels of free thyroxine in peri-menopausal women
Low charge states of Si and S in Cygnus X-1
Low cloud reduction in a greenhouse-warmed climate: Results from Lagrangian LES of a subtropical marine cloudiness transition
Low Hemoglobin Levels and Recurrent Falls in U.S. Men and Women: Prospective Findings from the REasons for Geographic And Racial Differences in Stroke (REGARDS) Cohort
Low Resistance Transparent Graphene-Like Carbon Thin Film Substrates for High Performance Dye Sensitized Solar Cells
Low dimensional GaAs/air vertical microcavity lasers
Low Prevalence of Hepatitis C Virus Infection Among HIV-Positive Patients: Data From a Large-Scale Cohort Study in Istanbul, Turkey
Low Temperature Ferromagnetism in Chemically Ordered FeRh Nanocrystals
Low Frequency Impedance Spectroscopy Analysis of Thermoelectric Modules
Low levels of ultraviolet-B radiation from fluorescent tubes induce an efficient flavonoid synthesis in Lollo Rosso lettuce without negative impact on growth
Low temperature sugar cane bagasse pyrolysis for the production of high purity hydrogen through steam reforming and CO2 capture
Low Prognostic Implication of Fibroblast Growth Factor Family Activation in Triple-negative Breast Cancer Subsets
Low doses of colony-stimulating factors lead to resolution of neutropenia in cancer patients through increased levels of dihydrofolate reductase
Low fetuin-A level in migraine: a case-control study
Low frequency resistance and critical current fluctuations in Al-based Josephson junctions
Low Prevalence of Liver Disease but Regional Differences in HBV Treatment Characteristics Mark HIV/HBV Co-Infection in a South African HIV Clinical Trial
Low doses of flagellin-L2 multimer vaccines protect against challenge with diverse papillomavirus genotypes
Low intrinsic exercise capacity in rats predisposes to age-dependent cardiac remodeling independent of macrovascular function
Low rank perturbations of large elliptic random matrices
Low temperature mechanical dissipation of an ion-beam sputtered silica film
Low energy and carbohydrate intake associated with higher total antioxidant capacity in apparently healthy adults
Low Weight as an Independent Risk Factor for Adverse Events During Cardiac Catheterization of Infants
Low triiodothyronine syndrome as a predictor of poor outcomes in patients undergoing brain tumor surgery: a pilot study Clinical article
Low frequency dynamics of a translating friction element in the presence of frictional guides, as motivated by a brake vibration problem
Low Androgen Induced Penile Maldevelopment Involves Altered Gene Expression of Biomarkers of Smooth Muscle Differentiation and a Key Enzyme Regulating Cavernous Smooth Muscle Cell Tone
Low EOT GeO2/Al2O3/HfO2 on Ge substrate using ultrathin Al deposition
Low Level Exposure to the Flame Retardant BDE-209 Reduces Thyroid Hormone Levels and Disrupts Thyroid Signaling in Fathead Minnows
Low gas price constrains profitability
Low Upper Limit to Methane Abundance on Mars
Low Cost Time Efficient Multi-tone Test Signal Generation Using OFDM Technique
Low band gap dithienogermolodithiophene copolymers with tunable acceptors and side-chains for organic solar cells
Low gamma activity measurement of meteorites using HPGe-NaI detector system
Low intensity conduction states in FeS2: implications for absorption, open-circuit voltage and surface recombination
Low Porosity Metallic Periodic Structures with Negative Poisson%26apos;s Ratio
Low temperature reaction kinetics of CN- + HC3N and implications for the growth of anions in Titan%26apos;s atmosphere
Low temperature geomicrobiology follows host rock composition along a geochemical gradient in Lau Basin
Low crystallinity TiO2 film with inherent low oxygen vacancy for sensitized solar cells
Low energy SIMS characterization of passive oxide films formed on a low-nickel stainless steel in alkaline media.
Low temperature carburizing of AISI 316L (industrial vs. plasma on a laboratory scale): a study on tribological behavior under dry sliding conditions.
Low field magnetization reversal behavior in GaMnAs films
Low estimated glomerular filtration rate and chronic kidney failure following liver transplant: A retrospective cohort study
Low Birth Weight Outcomes: Why Better in Cuba Than Alabama?
Low Frequency Elastic Measurements on Solid He in Vycor Using a Torsional Oscillator
Low Serum Magnesium and the Development of Atrial Fibrillation in the Community The Framingham Heart Study
Low levels of cerebrospinal fluid complement 3 and factor H predict faster cognitive decline in mild cognitive impairment
Low temperature n-butyllithium-induced [3,3]-sigmatropic rearrangement/electrophile trapping reactions of allyl-1,1-dichlorovinyl ethers. Synthesis of beta-, gamma- and delta-lactones
Low incidence but poor prognosis of complicated coeliac disease: A retrospective multicentre study
Low Social Support Is Associated With Shorter Leukocyte Telomere Length in Late Life: Multi-Ethnic Study of Atherosclerosis
Low risk of attrition among adults on antiretroviral therapy in the Rwandan national program: a retrospective cohort analysis of 6, 12, and 18 month outcomes
Low power, high gain, low noise amplifier (LNA) for ultra wide-band applications
Low Density Lipoprotein Receptor-related Protein 1 (LRP1) Modulates N-Methyl-D-aspartate (NMDA) Receptor-dependent Intracellular Signaling and NMDA-induced Regulation of Postsynaptic Protein Complexes
Low momentum propagators at two loops in gluon mass model
Low power high-performance smart camera system based on SCAMP vision sensor
Low threshold for optical damage in AlGaN epilayers and heterostructures
Low resistance palladium/molybdenum based ohmic contacts to n-GaSb grown on GaAs
Low Dehydroepiandrosterone Sulfate is Associated With Increased Risk of Ischemic Stroke Among Women
Low absorption state of phycocyanin from Acaryochloris marina antenna system: On the interplay between ionic strength and excitonic coupling
Low Back Pain: Movement Considerations for Exercise and Training
Low temperature electron transport spectroscopy of mechanically templated carbon nanotube single electron transistors
Low threshold T-type calcium channels as targets for novel epilepsy treatments
Low Cost Control Flow Protection Using Abstract Control Signatures
Low Adiposity during Early Infancy Is Associated with a Low Risk for Developing Dengue Hemorrhagic Fever: A Preliminary Model
Low Body Mass Index and Dyslipidemia in Dialysis Patients Linked to Elevated Plasma Fibroblast Growth Factor 23
Low expression of claudin-4: an indicator of recurrence in esophageal squamous cell carcinoma after Ivor Lewis esophagectomy?
Low temperature dependent ferroelectric resistive switching in epitaxial BiFeO3 films
Low levels of 17-beta-oestradiol, oestrone and testosterone correlate with severe evaporative dysfunctional tear syndrome in postmenopausal women: a case-control study
Low Mid-Proterozoic atmospheric oxygen levels and the delayed rise of animals
Low dietary folate and methylenetetrahydrofolate reductase deficiency may lead to pregnancy complications through modulation of ApoAI and IFN-gamma in spleen and placenta, and through reduction of methylation potential
Low Incidence of Off-Target Mutations in Individual CRISPR-Cas9 and TALEN Targeted Human Stem Cell Clones Detected by Whole-Genome Sequencing
Low frequency genetic variants in the mu-opioid receptor (OPRM1) affect risk for addiction to heroin and cocaine
Low Pneumoperitoneum Pressure Reduces Pain After Mini-Laparoscopic Hysterectomy: Results From Two Independent Randomized Controlled Trails
Low Incidence of Spontaneous Type 1 Diabetes in NonObese Diabetic Mice Raised on Gluten-Free Diets Is Associated with Changes in the Intestinal Microbiome
Low cycle cement fatigue experimental study and the effect on HPHT Well integrity
Low single dose gabapentin does not affect prefrontal and occipital gamma-aminobutyric acid concentrations
Low Vision Depression Prevention Trial in Age-Related Macular Degeneration A Randomized Clinical Trial
Low back pain in children and adolescents: a systematic review and meta-analysis evaluating the effectiveness of conservative interventions
Low abundance and probable decline of the critically endangered Maui%26apos;s dolphin estimated by genotype capture-recapture
Low Back Pain in a Natural Disaster
Low energy probes of PeV scale sfermions
Low Flucloxacillin Concentrations in a Patient With Central Nervous System Infection: The Need for Plasma and Cerebrospinal Fluid Drug Monitoring in the ICu
Low body weight and menstrual dysfunction are common findings in both elite and amateur ballet dancers
Low Density Parity Check Codes with Non-Equiprobable Symbols
Low soil temperatures increase carbon reserves in Picea mariana and Pinus contorta
Low Copy Numbers of DC-SIGN in Cell Membrane Microdomains: Implications for Structure and Function
Low Temperature Thermal Dependent Filgrastim Adsorption Behavior Detected with ToF-SIMS
Low mtDNA Cytb diversity and shallow population structure of Eleutheronema tetradactylum in the East China Sea and the South China Sea
Low emissivity high-temperature tantalum thin film coatings for silicon devices
Low Ileocecal Valve Pressure Is Significantly Associated with Small Intestinal Bacterial Overgrowth (SIBO)
Low PIP4K2B Expression in Human Breast Tumors Correlates with Reduced Patient Survival: A Role for PIP4K2B in the Regulation of E-Cadherin Expression
Low temperature crystallisation of mesoporous TiO2
Low Protein Intake Is Associated with a Major Reduction in IGF-1, Cancer, and Overall Mortality in the 65 and Younger but Not Older Population
Low Temperature Synthesis of N-Doped TiO2 Nanocatalysts for Photodegradation of Methyl Orange
Low copy target detection by Droplet Digital PCR through application of a novel open access bioinformatic pipeline, 'definetherain'
Low energy structural dynamics and constrained libration of Li(NH3)(4), the lowest melting point metal
Low sensitivity of the metabolic syndrome to identify adolescents with impaired glucose tolerance: an analysis of NHANES 1999-2010
Low blood lead level effects on intelligence: Can a dose-response curve be determined from the epidemiological data?
Low pressure characterization of dielectric barrier discharge actuators
Low Frequency Variants, Collapsed Based on Biological Knowledge, Uncover Complexity of Population Stratification in 1000 Genomes Project Data
Low activity of LSD1 elicits a pro-inflammatory gene expression profile in riboflavin-deficient human T Lymphoma Jurkat cells
Low Density Lipoprotein-Containing Circulating Immune Complexes: Role in Atherosclerosis and Diagnostic Value
Low complexity list successive cancellation decoding of polar codes
Low temperature hysteretic behavior of the interpenetrating 3-D network structured [Ru-2(O2CMe)(4)](3)[Fe(CN)(6)] magnet
Low correlation between visit-to-visit variability and 24-h variability of blood pressure
Low hardware resource consumption fast SVPWM algorithm
Low density lipoprotein-containing circulating immune complexes have better prognostic value in carotid intima-media thickness progression than other lipid parameters
Low Brain Iron Content in Idiopathic Restless Legs Syndrome Patients Detected by Phase Imaging
Low strength ultrasonication positively affects the methanogenic granules toward higher AD performance. Part I: Physico-chemical characteristics
Low Bone Mineral Content and Challenges in Interpretation of Dual-Energy X-Ray Absorptiometry in Children With Mucopolysaccharidosis Types I, II, and VI
Low Mass MS/MS Fragments of Protonated Amino Acids Used for Distinction of Their C-13- Isotopomers in Metabolic Studies
Low glycaemic index diets and blood lipids: A systematic review and meta-analysis of randomised controlled trials
Low temperature growth of GaAs1-yBiy epitaxial layers
Low and then high frequency oscillations of distinct right cortical networks are progressively enhanced by medium and long term Satyananda Yoga meditation practice
Low levels of a natural IgM antibody are associated with vein graft stenosis and failure
Low levels of genetic differentiation characterize Australian humpback whale (Megaptera novaeangliae) populations
Low molecular weight heparin in patients undergoing free tissue transfer following head and neck ablative surgery: review of efficacy and associated complications
Low Complexity Time Concatenated Turbo Equalization for Block Transmission Without Guard Interval: Part 3-Application to Multiuser SIMO-OFDM
Low frequency clonal mutations recoverable by deep sequencing in patients with aplastic anemia
Low Bone Density during Childhood: What Does It Predict?
Low density lipoprotein promotes human naive T cell differentiation to Th1 cells
Low Intensity and Frequency Pulsed Electromagnetic Fields Selectively Impair Breast Cancer Cell Viability
Low resistivity, super-saturation phosphorus-in-silicon monolayer doping
Low pressure induced porous nanorods of ceria with high reducibility and large oxygen storage capacity: synthesis and catalytic applications
Low variation in relative permittivity over the temperature range 25-450 degrees C for ceramics in the system (1-x)[Ba0.8Ca0.2TiO3]-x[Bi(Zn0.5Ti0.5)O-3]
Low Cation Coordination in Oxide Melts
Low cycle fatigue of a polycrystalline Ni-based superalloy: Deformation substructure analysis
Low temperature sintering of Ba0.91Ca0.09Ti0.916Sn0.084O3 lead-free piezoelectric ceramics with the additives of ZnO and MnO2
Low Dimensional Carbon Materials for Applications in Mass and Energy Transport
Low brain iron effects and reversibility on striatal dopamine dynamics
Low temperature cell pausing: an alternative short-term preservation method for use in cell therapies including stem cell applications
Low Concentrations of the Solvent Dimethyl Sulphoxide Alter Intrinsic Excitability Properties of Cortical and Hippocampal Pyramidal Cells
Low blood lead concentrations and thyroid function of American adults
Low resistance GaN/InGaN/GaN tunnel junctions
Low incidence of paraplegia after thoracic endovascular aneurysm repair with proactive spinal cord protective protocols
Low functional redundancy among mammalian browsers in regulating an encroaching shrub (Solanum campylacanthum) in African savannah
Low Concentrations of Contaminants in an Invasive Cyprinid, the Rudd, in a Great Lakes Area of Concern
Low concentrated hydroxyectoine solutions in presence of DPPC lipid bilayers: A computer simulation study
Low temperature conditioning reduces chilling injury while maintaining quality and certain bioactive compounds of 'Star Ruby' grapefruit
Low recruitment not mortality limits growth of peripheral populations of Silene spaldingii
Low molecular weight fibroblast growth factor-2 signals via protein kinase C and myofibrillar proteins to protect against postischemic cardiac dysfunction
Low frequency plasmonic state and negative permittivity spectra of coagulated Cu granular composite materials in the percolation threshold
Low resistive gallium doped nanocrystalline zinc oxide for gas sensor application via sol-gel process
Low Reproductive Rate Predicts Species Sensitivity to Habitat Loss: A Meta-Analysis of Wetland Vertebrates
Low frequency graphene resonators for acoustic sensing
Low Lumbar Fractures Does Thoracolumbar Injury Classification and Severity Score Work?
Low loss and high refractive index in impedance-matched ferrite-silver co-fired ceramics
Low loss liquid crystals for infrared applications
Low power 50 Gb/s silicon traveling wave Mach-Zehnder modulator near 1300 nm
Low modulus polymer packaged optical fiber sensor for macrocrack monitoring in ice structures of cold regions
Low 2012-13 Influenza Vaccine Effectiveness Associated with Mutation in the Egg-Adapted H3N2 Vaccine Strain Not Antigenic Drift in Circulating Viruses
Low sputum MMP-9/TIMP ratio is associated with airway narrowing in smokers with asthma
Low energy ion beam assisted deposition of controllable solid state electrolyte LiPON with increased mechanical properties and ionic conductivity
Low Temperature Kinetics of the CH3OH + OH Reaction
Low PRF data aided near-range clutter suppression method
Low Macrophage Content in Diabetic and Aging Human Skeletal Muscle
Low Frequency Entrainment of Oscillatory Bursts in Hair Cells
Low LDL-C and High HDL-C Levels Are Associated with Elevated Serum Transaminases amongst Adults in the United States: A Cross-sectional Study
Low Frequency Finite-Difference Time-Domain Modeling of a PEC Sphere Based on a Quasi-Analytical Coupled Dipole Approximation
Low Circulating Levels of IGF-1 in Healthy Adults Are Associated With Reduced beta-Cell Function, Increased Intramyocellular Lipid, and Enhanced Fat Utilization During Fasting
Low temperature preparation of a graphene-cobalt microsphere hybrid by borohydride-initiated reduction for enriching proteins and peptides
Low moisture milling of wheat for quality testing of wholegrain flour
Low dose IR-induced IGF-1-sCLU expression: a p53-repressed expression cascade that interferes with TGF beta 1 signaling to confer a pro-survival bystander effect
Low Cost Adsorbents for Sustainable Dye Containing-Wastewater Treatment
Low viscosity cyanate ester resin for the injection repair of hole-edge delaminations in bismaleimide/carbon fiber composites
Low Possibility of Intra-Ovum Infection with Flavobacterium psychrophilum or Renibacterium salmoninarum in the Salmonid Coelomic Cavity
Low valency in lanthanides: A theoretical study of NdF and LuF
Low Drop-Out Voltage Regulators: Capacitor-less Architecture Comparison
Low Incidence of Off-Target Mutations in Individual CRISPR-Cas9 and TALEN Targeted Human Stem Cell Clones Detected by Whole-Genome Sequencing (vol 15, pg 27, 2014)
Low Serum Adiponectin Levels and Endothelial Dysfunction in Childhood Hypertension
Low Concentration H2O2/TiO_N in Office Bleaching: A Randomized Clinical Trial
Low thermal conductivity and high thermoelectric figure of merit in p-type Sb2Te3/poly(3,4-ethylenedioxythiophene) thermoelectric composites
Low oxygen events in the Laurentian Channel during the Holocene
Low Cost Tuberculosis Vaccine Antigens in Capsules: Expression in Chloroplasts, Bio-Encapsulation, Stability and Functional Evaluation In Vitro
Low vitamin D levels in adults with longer time to fall asleep: US NHANES, 2005-2006
Low etiologic fraction for high-risk human papillomavirus in oral cavity squamous cell carcinomas
Low glass transition temperature hole transport material in enhanced-performance solid-state dye-sensitized solar cell
Low Reynolds number flows across ordered arrays of micro-cylinders embedded in a rectangular micro/minichannel
Low Antibody Levels to Pregnancy-specific Malaria Antigens and Heightened Cytokine Responses Associated With Severe Malaria in Pregnancy
Low frequency of cagA-positive Helicobacter pylori strains isolated from Iranian patients with MALT lymphoma
Low temperature pretreatment of sugarcane bagasse at atmospheric pressure using mixtures of ethylene carbonate and ethylene glycol
Low interface defect density of atomic layer deposition BeO with self-cleaning reaction for InGaAs metal oxide semiconductor field effect transistors
Low soil temperature inhibits the stimulatory effect of elevated [CO2] on height and biomass accumulation of white birch seedlings grown under three non-limiting phosphorus conditions
Low genetic diversity of the successful invasive African clawed frog Xenopus laevis (Pipidae) in Chile
Low Raltegravir Concentration in Cerebrospinal Fluid in Patients With ABCG2 Genetic Variants
Low Baseline CD4(+) Count Is Associated With Greater Bone Mineral Density Loss After Antiretroviral Therapy Initiation
Low bone mineral density is associated with balance and hearing impairments
Low CO2 results in a rearrangement of carbon metabolism to support C-4 photosynthetic carbon assimilation in Thalassiosira pseudonana
Low birth weight, later renal function, and the roles of adulthood blood pressure, diabetes, and obesity in a British birth cohort
Low Wound Complication Rates for the Lateral Extensile Approach for Calcaneal ORIF When the Lateral Calcaneal Artery Is Patent
Low prevalence of type 2 diabetes mellitus among patients with high levels of high-density lipoprotein cholesterol
Low Risk of Pulmonary Valve Implantation After a Policy of Transatrial Repair of Tetralogy of Fallot Delayed Beyond the Neonatal Period
Low simulated radiation limit for runaway greenhouse climates
Low Dose Detection of gamma Radiation via Solvent Assisted Fluorescence Quenching
Low Numeracy Is Associated With Increased Odds of 30-Day Emergency Department or Hospital Recidivism for Patients With Acute Heart Failure
Low plasma citrulline levels are associated with acute respiratory distress syndrome in patients with severe sepsis
Low Cost Built-in Sensor Testing of Phase-Locked Loop Dynamic Parameters
Low antibody-dependent cellular cytotoxicity responses in Zambians prior to HIV-1 intrasubtype C superinfection
Low risk of second primary malignancies among never smokers with human papillomavirus-associated index oropharyngeal cancers
Low accuracy of interpretation of rotator cuff MRI in patients with osteoarthritis
Low levels of vasoactive intestinal peptide are associated with Chagas disease cardiomyopathy
Low Weight and Overweightness in Older Adults: Risk and Clinical Management
Low Postoperative Platelet Count is Associated with Negative Outcome after Liver Resection for Hepatocellular Carcinoma
Low frequency vibrational dynamics and polyamorphism in Y2O3-Al2O3 glasses
Low Back Pain
Low Birth Weight Male Guinea Pig Offspring Display Increased Visceral Adiposity in Early Adulthood
Low Risk of Japanese Encephalitis in Short-Term Australian Travelers to Asia
Low invasive in vivo tissue sampling for monitoring biomarkers and drugs during surgery
Low incidence of adverse events following varenicline initiation among opioid dependent smokers with comorbid psychiatric illness
Low chlamydia testing uptake among young pregnant women in Australia highlights the need for national leadership in this area
Low Levels of p53 Protein and Chromatin Silencing of p53 Target Genes Repress Apoptosis in Drosophila Endocycling Cells
Low temperature deposited graphene by surface wave plasma CVD as effective oxidation resistive barrier
Low solvent, low temperature method for extracting biodiesel lipids from concentrated microalgal biomass
Low Prevalence of Pneumococcal Carriage and High Serotype and Genotype Diversity among Adults over 60 Years of Age Living in Portugal
Low incidence of tendon rerupture after distal biceps repair by cortical button and interference screw
Low Intake of Vegetables and Fruits and Risk of Colorectal Cancer: The Japan Collaborative Cohort Study
Low temperature magneto-photoluminescence of GaAsBi/GaAs quantum well heterostructures
Low Complexity Turbo-Equalization: A Clustering Approach
Low serum level of high-sensitivity C-reactive protein in a Japanese patient with maturity-onset diabetes of the young type 3 (MODY3)
Low Cost Extraction and Isothermal Amplification of DNA for Infectious Diarrhea Diagnosis
Low vitamin D levels are common in patients with epilepsy
Low coverage of intermittent preventive treatment and insecticide-treated nets for control of malaria during pregnancy in sub-Saharan Africa - what needs to be done?
Low levels of copper disrupt brain amyloid-beta homeostasis by altering its production and clearance
Low Cost Ferritic Stainless Steel in Dye Sensitized Solar Cells with Cobalt Complex Electrolyte
Low temperature solid state processing of pure P3HT fibers
Low Band Gap Donor-Acceptor Conjugated Polymer Nanoparticles and their NIR-mediated Thermal Ablation of Cancer Cells
Low energy structures of lithium-ion battery materials Li(MnxNixCo1-2x)O-2 revealed by first-principles calculations
Low Back Pain and Lumbar Spine Osteoarthritis: How Are They Related?
Low power gas discharge plasma mediated inactivation and removal of biofilms formed on biomaterials
Low Incidence of Chest Wall Pain with a Risk-Adapted Lung Stereotactic Body Radiation Therapy Approach Using Three or Five Fractions Based on Chest Wall Dosimetry
Low voltage resistive switching devices based on chemically produced silicon oxide
Low Susceptibility of Invasive Red Lionfish (Pterois volitans) to a Generalist Ectoparasite in Both Its Introduced and Native Ranges
Low host specificity of beetles associated with fruit falls in lowland tropical rainforest of north-east Australia
Low physical activity levels and functional decline in individuals with lung cancer
Low doses of ranolazine and dronedarone in combination exert potent protection against atrial fibrillation and vulnerability to ventricular arrhythmias during acute myocardial ischemia
Low Primary and Secondary HIV Drug-Resistance after 12 Months of Antiretroviral Therapy in Human Immune-Deficiency Virus Type 1 (HIV-1)-Infected Individuals from Kigali, Rwanda
Low Vitamin D Status Does Not Adversely Affect Short-Term Functional Outcome After Total Hip Arthroplasty
Low cycle fatigue assessments of corner welded joints based on local strain approach
Low activity of select Hsp104 mutants is sufficient to propagate unstable prion variants
Low temperature magnetic transitions of single crystal HoBi
Low dose dynamic CT myocardial perfusion imaging using a statistical iterative reconstruction method
Low loss coupling to sub-micron thick rib and nanowire waveguides by vertical tapering
Low Vitamin B12 Levels among Newly-Arrived Refugees from Bhutan, Iran and Afghanistan: A Multicentre Australian Study
Low Proportions of CD28(-) CD8(+) T cells Expressing CD57 Can Be Reversed by Early ART Initiation and Predict Mortality in Treated HIV Infection
Low effective mass leading to an improved ZT value by 32% for n-type BiCuSeO: a first-principles study
Low Blood Zinc, Iron, and Other Sociodemographic Factors Associated with Behavior Problems in Preschoolers
Low Diversity in the Mitogenome of Sperm Whales Revealed by Next-Generation Sequencing
Low Hemoglobin Levels and Hypo-Responsiveness to Erythropoiesis-Stimulating Agent Associated With Poor Survival in Incident Japanese Hemodialysis Patients
Low dopamine transporter occupancy by methylphenidate as a possible reason for reduced treatment effectiveness in ADHD patients with cocaine dependence
Low genetic diversity in the locus encoding the Plasmodium vivax P41 protein in Colombia's parasite population
Low RC-Constant Perforated-Channel HFET
Low calcium intake is associated with high plasma homocysteine levels in postmenopausal women
Low rate of pneumococci non-susceptible to penicillin in healthy Swedish toddlers
Low temperature delays timing and enhances the cost of nitrogen fixation in the unicellular cyanobacterium Cyanothece
Low blue light enhances growth rate, light absorption, and photosynthetic characteristics of four marine phytoplankton species
Low rectal toxicity after dose escalated IMRT treatment of prostate cancer using an absorbable hydrogel for increasing and maintaining space between the rectum and prostate: Results of a multi-institutional phase II trial
Low temperature thermally stimulated current characterization of nanoporous TiO2 films
Low 17beta-Estradiol Levels in Cnr1 Knock-Out Mice Affect Spermatid Chromatin Remodeling by Interfering with Chromatin Reorganization
Low molecular-weight phenols in Tannat wines made by alternative winemaking procedures
Low temperature spark plasma sintering of 45S5 Bioglass (R)
Low Copper and High Manganese Levels in Prion Protein Plaques
Low Visibility Formation and Forecasting on the Northern Coast of Brazil
Low Cerebral Blood Flow is Associated with Lower Memory Function in Metabolic Syndrome
Low temperature sintering of binder-containing TiO2/metal peroxide pastes for dye-sensitized solar cells
Low rate deep level transient spectroscopy - a powerful tool for defect characterization in wide bandgap semiconductors
Low temperature heat capacity of bulk and nanophase ZnO and Zn1-xCoxO wurtzite phases
Low TCR signal strength induces combined expansion of Th2 and regulatory T cell populations that protect mice from the development of type 1 diabetes
Low heart rate variability and cancer-related fatigue in breast cancer survivors
Low genetic variation in cold tolerance linked to species distributions in butterflies
Low back pain patients' responses to videos of avoided movements
Low temperature synthesis of plate-like BiOIs and their highly enhanced visible light photocatalytic performance
Low Urine Vascular Endothelial Growth Factor Levels Are Associated with Mechanical Ventilation, Bronchopulmonary Dysplasia and Retinopathy of Prematurity
Low dose BMP-2 treatment for bone repair using a PEGylated fibrinogen hydrogel matrix
Low Temperature Processed Two-Transistor-Two-Capacitor-Based Ferroelectric Random Access Memory
Low molecular weight heparin for prevention of microvascular occlusion in digital replantation
Low levels of IgM antibodies against phosphorylcholine are associated with fast carotid intima media thickness progression and cardiovascular risk in men
Low temperature self-cleaning properties of superhydrophobic surfaces
Low nM Detection Limits at Porous 13nm Thick Membrane-Coated Nanostructured Microdisk Electrodes
Low Surface Recombination Velocity by Low-Absorption Silicon Nitride on c-Si
Low carbon distribution center's location decision method under carbon emissions constraint condition
Low stress deformation of garnet by incongruent dissolution precipitation creep
Low yield of residual vulvar carcinoma and dysplasia upon re-excision for close or positive margins
Low frequency repetitive transcranial magnetic stimulation of the left dorsolateral prefrontal cortex transiently increases cue-induced craving for methamphetamine: A preliminary study
Low Glomerular Filtration Rate, Recurrent Stroke Risk, and Effect of Renin-Angiotensin System Modulation
Low nutrient availability reduces high-irradiance-induced viability loss in oceanic phytoplankton
Low molecular weight liquid media development for Lactobacilli producing bacteriocins
Low Oxidation State Iron(0), Iron(I), and Ruthenium(0) Dinitrogen Complexes with a Very Bulky Neutral Phosphine Ligand
Low Phase Noise 14-Bit Digitally Controlled CMOS Quadrature Ring Oscillator
Low concentration of serum helps to maintain the characteristics of NSCs/NPCs on alkali-treated PHBHHx film in vitro
Low dynamic muscle strength and its associations with fatigue, functional performance, and quality of life in premenopausal patients with systemic lupus erythematosus and low disease activity: a case-control study
Low high-density lipoprotein cholesterol level is a significant risk factor for development of type 2 diabetes: Data from the Hawaii-Los Angeles-Hiroshima study
Low calcium carbonate saturation state in an Arctic inland sea having large and varying fluvial inputs: The Hudson Bay system
Low expression of sodium iodide symporter expression in aggressive variants of papillary thyroid carcinoma
Low Creatinine Clearance is a Risk Factor for D2 Gastrectomy after Neoadjuvant Chemotherapy
Low molecular weight heparin for prevention of central venous catheterization-related thrombosis in children
Low signal intensity in U-fiber identified by susceptibility-weighted imaging in two cases of progressive multifocal leukoencephalopathy
Low cycle fatigue and cyclic softening behaviour of martensitic cast steel
Low Brain CB1 Receptor Occupancy by a Second Generation CB1 Receptor Antagonist TM38837 in Comparison With Rimonabant in Nonhuman Primates: A PET Study
Low body mass index and jaw movement are protective of hearing in users of personal listening devices
Low Dietary Vitamin D in Mid-Life Predicts Total Mortality in Men with Hypertension: The Honolulu Heart Program
Low NDRG1 mRNA expression predicts a poor prognosis in neuroblastoma patients
Low temperature cubic garnet-type CO2-doped Li7La3Zr2O12
Low Norton scale scores are associated with medical complications other than pressure ulcers during rehabilitation in the elderly
Low background and high contrast PET imaging of amyloid-beta with [C-11]AZD2995 and [C-11]AZD2184 in Alzheimer's disease patients
Low serum levels of vitamin D in idiopathic inflammatory myopathies
Low rate of cardiac events in first-degree relatives of diagnosis-negative young sudden unexplained death syndrome victims during follow-up
Low energy synthesis of nitrogen functionalized graphene/nanoclay hybrid via submerged liquid plasma approach
Low Serum Long-acting Natriuretic Peptide Level Correlates with Metabolic Syndrome in Hypertensive Patients: A Cross-sectional Study
Low prevalence of metabolic syndrome and its prediction in Japanese inpatients with schizophrenia
Low opsonic activity to the infecting serotype in pediatric patients with invasive pneumococcal disease
Low energy excitations inside the vortex core of LiFe(As, P) single crystals investigated by microwave-surface impedance
Low contrast- and low radiation dose protocol for cardiac CT of thin adults at 256-row CT: usefulness of low tube voltage scans and the hybrid iterative reconstruction algorithm
Low Birth Weight in the Offspring of Women With Anorexia Nervosa
Low normal thyroid function attenuates serum alanine aminotransferase elevations in the context of metabolic syndrome and insulin resistance in white people
Low dielectric loss and weak frequency dependence of dielectric permittivity of the CeO2/polystyrene nanocomposite films
Low risk of apparent transmission of vancomycin-resistant Enterococci from bacteraemic patients to hospitalized contacts
Low cost zinc oxide for memristors with high On-Off ratios
Low forced expiratory volume is associated with blunted cardiac reactions to acute psychological stress in a community sample of middle-aged men and women
Low dosage of magnesium sulphate as a long-term sedative during transport of firefly squid, Watasenia scintillans
Low vacuum thermochemical conversion of anaerobically digested swine solids
Low Temperature Preparation and Electrochemical Properties of LiFeSi2O6
Low exposure to lead and reproductive health: a cohort study of female workers in the ceramic industry of Emilia-Romagna (Northern Italy)
Low docosahexaenoic acid status is associated with reduced indices in cortical integrity in the anterior cingulate of healthy male children: A H-1 MRS Study
Low Power Operation of a Vertical Cavity Transistor Laser via the Reduction of Collector Offset Voltage
Low dimensional model of bursting neurons
Low molecular weight heparin in management and prevention of portal vein thrombosis
Low re-excision rate for positive margins in patients treated with ultrasound-guided breast-conserving surgery
Low cost and flexible electrodes with NH3 plasma treatments in extended gate field effect transistors for urea detection
Low blood pressure and antihypertensive treatment are independently associated with physical and mental health status in patients with arterial disease: the SMART study
Low maternal education is associated with increased growth velocity in the first year of life and in early childhood: the ABCD study
Low Stress Creep Deformation in High Chromium Ferritic Heat-resistant Steel Evaluated by Helicoid Spring Creep Test Method
Low energy mammogram obtained in contrast-enhanced digital mammography (CEDM) is comparable to routine full-field digital mammography (FFDM)
Low p14(ARF) expression in neuroblastoma cells is associated with repressed histone mark status, and enforced expression induces growth arrest and apoptosis
Low thermal conductivity and rapid synthesis of n-type cobalt skutterudite via a hydrothermal method
Low Molecular Weight Dextran Provides Similar Optical Coherence Tomography Coronary Imaging Compared to Radiographic Contrast Media
Low temperature resistivity, thermoelectricity, and power factor of Nb doped anatase TiO2
Low head pico hydro turbine selection using a multi-criteria analysis
Low Incidence of Oncogenic EGFR, HRAS, and KRAS Mutations in Seborrheic Keratosis
Low defect InGaAs quantum well selectively grown by metal organic chemical vapor deposition on Si(100) 300 mm wafers for next generation non planar devices
Low complexity regions (LCRs) contribute to the hypervariability of the HIV-1 gp120 protein
Low side lobe pattern synthesis using projection method with genetic algorithm for truncated cone conformal phased arrays
Low host specificity in species-rich assemblages of xylem- and phloem-feeding herbivores (Auchenorrhyncha) in a New Guinea lowland rain forest
Low Cost Signal Reconstruction Based Testing of RF Components using Incoherent Undersampling
Low resistivity Fe-Co-B-Ti-Nb amorphous thin film as a copper barrier
Low Plasma alpha-Tocopherol Concentrations and Adverse Clinical Outcomes in Diabetic Hemodialysis Patients
Low depth quantum circuits for Ising models
Low contribution of N-2 fixation to new production and excess nitrogen in the subtropical northeast Atlantic margin
Low Toxic Organic Solvent-Based Ultrasound-Assisted Emulsification Microextraction for the Residue Analysis of Benzimidazole Anthelmintics in Egg Samples by High Performance Liquid Chromatography
Low dose gamma irradiation does not affect the quality, proximate or nutritional profile of %26apos;Brigitta%26apos; blueberry and %26apos;Maravilla%26apos; raspberry fruit
Low cost method for location service in the WCDMA system
Low prevalence of autoantibodies to CENP-H, -I, -K, -L, -M, -N, -T and -U in a Japanese cohort of anti-centromere positive samples
Low speed flutter and limit cycle oscillations of a two-degree-of-freedom flat plate in a wind tunnel
Low prevalence of heparin-induced thrombocytopenia after cardiac surgery in Thai patients
Low temperature exposure of root system and inflorescence affected flowering and fruit set in %26apos;Chardonnay%26apos; grapevines (Vitis vinifera)
Low tendon stiffness and abnormal ultrastructure distinguish classic Ehlers-Danlos syndrome from benign joint hypermobility syndrome in patients
Low Adiponectin Levels and Increased Risk of Type 2 Diabetes in Patients With Myocardial Infarction
Low cycle fatigue behavior of a semi-solid processed AM60B magnesium alloy
Low back pain: An assessment using positional MRI and MDT
Low plasma renin level is associated with the occurrence of angiographic radial artery spasm in patients undergoing transradial coronary procedures
Low resistance polycrystalline diamond thin films deposited by hot filament chemical vapour deposition
Low concordance of biomarkers in histopathological and cytological material from breast cancer
Low variance at large scales of WMAP 9 year data
Low Leakage TCAM for IP Lookup Using Two-Side Self-Gating
Low female stress hormone levels are predicted by same- or opposite-sex sociality depending on season in wild Assamese macaques
Low Carbohydrate versus Isoenergetic Balanced Diets for Reducing Weight and Cardiovascular Risk: A Systematic Review and Meta-Analysis
Low Prevalence of Anti-DFS70/LEDGF Antibodies in Patients with Dermatomyositis and Other Systemic Autoimmune Rheumatic Diseases
Low Rate of Postpolypectomy Bleeding Among Patients Who Continue Thienopyridine Therapy During Colonoscopy
Low frequency shear electromagnetic modes in strongly coupled, relativistic-degenerate, astrophysical electron-positron-ion plasmas
Low levels of fractional exhaled nitric oxide and deep inhalation bronchoprotection are associated with mannitol non-responsiveness in asthma
Low seroprevalance of diphtheria, tetanus and pertussis in ambulatory adult patients: the need for lifelong vaccination
Low global sensitivity of metabolic rate to temperature in calcified marine invertebrates
Low temperature thermal degradation of PCDD/Fs in soil using nanosized particles of zerovalent iron and CaO
Low temperature synthesis, optical and photoconductance properties of nearly monodisperse thin In2S3 nanoplatelets
Low field magnetic response of the non-centrosymmetric superconductor YPtBi
Low socioeconomic status may increase the risk of central obesity in incoming university students in Taiwan
Low Temperature Plasma Causes Double-Strand Break DNA Damage in Primary Epithelial Cells Cultured From a Human Prostate Tumor
Low temperature growth of ultra-high mass density carbon nanotube forests on conductive supports (vol 103, 073116, 2013)
Low Temperature Nitriding of 304 Au.stenitic Stainless Steel Using RF-ICP Method: the Role of Ion Beam Flux Density
Low Current Resistive Switching Behavior in Semiconductor/Ferroelectric Coupling
Low Levels of the Reverse Transactivator Fail to Induce Target Transgene Expression in Vascular Smooth Muscle Cells
Low cytoplasmic pH reduces ER-Golgi trafficking and induces disassembly of the Golgi apparatus
Low Alberta Stroke Program Early CT Score (ASPECTS) Associated with Malignant Middle Cerebral Artery Infarction
Low temperature microwave and conventional heating pre-treatments to improve sludge anaerobic biodegradability
Low Delay MAC Scheduling for Frequency-Agile Multi-Radio Wireless Networks
Low combustible polypropylene/flax/magnesium hydroxide composites: mechanical, flame retardation characterization and recycling effect
Low pulse pressure as a poor-man's indicator of a low cardiac index in patients with severe cardiac dysfunction
Low Protein Nitrogen Appearance as a Surrogate of Low Dietary Protein Intake Is Associated with Higher All-Cause Mortality in Maintenance Hemodialysis Patients
Low heterogeneity in populations of the terrestrial earthworm, Metaphire peguana (Rosa, 1890), in Thailand, as revealed by analysis of mitochondrial DNA COI sequences and nuclear allozymes
Low fragment polyatomic molecular ion source by using permanent magnets
Low Concentration of Arsenic-Induced Aberrant Mitosis in Keratinocytes Through E2F1 Transcriptionally Regulated Aurora-A
Low nitrate availability promotes diatom diazotroph associations in the marginal seas of the western Pacific
Low electric fields induce ligand-independent activation of EGF receptor and ERK via electrochemical elevation of H+ and ROS concentrations
Low molecular mass peptides generated by hydrolysis of casein impair rennet coagulation of milk
Low Hepcidin Levels in Severely Anemic Malawian Children with High Incidence of Infectious Diseases and Bone Marrow Iron Deficiency
Low Loss MEMS-Reconfigurable 1-Bit Reflectarray Cell With Dual-Linear Polarization
Low grade Ductal Carcinoma in situ (DCIS): How best to describe it?
Low scaling of a life history variable: Analysing eutherian gestation periods with and without phylogeny-informed statistics
Low symmetry pyrazole-based tripodal tetraamine ligands: metal complexes and ligand decomposition reactions
Low incidence of hepatitis C virus among prisoners in Scotland
Low Loss Suspended Membrane on Low Resistivity Silicon and Its Applications to Millimetre-Wave Passive Circuits
Low Back and Common Widespread Pain Share Common Genetic Determinants
Low Rates of Additional Cancer Detection by Magnetic Resonance Imaging in Newly Diagnosed Breast Cancer Patients Who Undergo Preoperative Mammography and Ultrasonography
Low coercivity giant magnetoresistance with perpendicular magnetic anisotropy
Low genetic and morphological differentiation between an introduced population of dunnocks in New Zealand and an ancestral population in England
Low Temperature Conditioning Alleviates Chilling Injury in Mango (Mangifera indica L. cv. Carabao) Fruit
Low frequency ultrasound (42 kHz) assisted degradation of Acid Blue 113 in the presence of visible light driven rare earth nanoclusters loaded TiO2 nanophotocatalysts
Low fasting plasma insulin is associated atrial fibrillation in men from a cohort study - the Malmo preventive project
Low temperature wet etching to reveal sub-surface damage in sapphire substrates
Low Cost Quantitative Arsenic Determination Kit: A Method by Using Silver Nitrate
Low impact of fragmentation on genetic variation within and between remnant populations of the typical renosterveld species Nemesia barbata in South Africa
Low awareness of the Charles Bonnet syndrome in patients attending a retinal clinic
Low genetic diversity associated with low prevalence of Anaplasma marginale in water buffaloes in Marajo Island, Brazil
Low pull-in voltage graphene electromechanical switch fabricated with a polymer sacrificial spacer
Low levels of light pollution may block the ability of male glow-worms (Lampyris noctiluca L.) to locate females
Low SOX17 expression is a prognostic factor and drives transcriptional dysregulation and esophageal cancer progression
Low Temperature (180 degrees C) Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition
Low malathion concentrations influence metabolism in Chironomus sancticaroli (Diptera, Chironomidae) in acute and chronic toxicity tests
Low birth weight in newborns to women employed in jobs with frequent exposure to organic solvents
Low inversion equivalent oxide thickness and enhanced mobility in MOSFETs with chlorine plasma interface engineering
Low Mass-Damping Vortex-Induced Vibrations of a Single Cylinder at Moderate Reynolds Number
Low Myo-Inositol Indicating Astrocytic Damage in a Case Series of Neuromyelitis Optica
Low voltage electrolyte-gated organic transistors making use of high surface area activated carbon gate electrodes
Low temperature synthesis of tetragonal BaTiO3 by a novel composite-hydroxide-mediated approach and its dielectric properties
Low temperature sintering and color of a new compound Sn(1.24)Ti(1.9)4O(3.66)(OH)(1.50)F-1.42
Low temperature synthesis of TiO2-xNy powders and films with visible light responsive photocatalytic activity
Low molecular weight bioactive peptides derived from the enzymatic hydrolysis of collagen after isoelectric solubilization/precipitation process of turkey by-products
Low water exchange Gracilariopsis bailiniae Zhang & BM Xia culture in intensive milkfish culture effluents: effects of seaweed density on seaweed production and effluent treatment
Low Blood Long Chain Omega-3 Fatty Acids in UK Children Are Associated with Poor Cognitive Performance and Behavior: A Cross-Sectional Analysis from the DOLAB Study
Low Bone Mineral Density, Regardless of HIV Status, in Men Who Have Sex With Men
Low back and radicular pain: a pathway for care developed by the British Pain Society
Low back pain risk factors associated with persistence, recurrence and delayed presentation
Low velocity impact damage evaluation in fiber glass composite plates using PZT sensors
Low stroke risk after elective cardioversion of atrial fibrillation: An analysis of the Flec-SL trial
Low thermal conductivity and triaxial phononic anisotropy of SnSe
Low platinum, high limiting current density of the PEMFC (proton exchange membrane fuel cell) based on multilayer cathode catalyst approach
Low pressure versus standard pressure pneumoperitoneum in laparoscopic cholecystectomy
Low initial aspect-ratio direct-drive target designs for shock- or self-ignition in the context of the laser Megajoule
Low Cost Seismic Network Practical Applications for Producing Quick Shaking Maps in Taiwan
Low toxic effects of a whitening strip to cultured pulp cells
Low levels of IgM antibodies to oxidized cardiolipin increase and high levels decrease risk of cardiovascular disease among 60-year olds: a prospective study
Low temperature aqueous precipitation of needle-like nanophase hydroxyapatite
Low temperature brittle debond damage under normal compression of sandwich plates: Analytical modeling and experimental validation
Low Incidence of an Altered Endometrial Epidermal Growth Factor (EGF) Profile in Repeat Breeder Holstein Heifers and Differential Effect of Parity on the EGF Profile Between Fertile Holstein (Dairy) and Japanese Black (Beef) Cattle
Low vitamin D level is associated with higher relapse rate in natalizumab treated MS patients
Low Incidence of Livestock-Associated Methicillin-Resistant Staphylococcus aureus Bacteraemia in The Netherlands in 2009
Low agreement between cardiologists diagnosing left ventricular hypertrophy in children with end-stage renal disease
Low temperature phase selective synthesis of Cu2ZnSnS4 quantum dots
Low Levels of Antibodies Against Oxidized but not Nonoxidized Cardiolipin and Phosphatidylserine Are Associated with Atherosclerotic Plaques in Systemic Lupus Erythematosus
Low temperature catalytic NO oxidation over microporous materials
Low Serum Vitamin D Concentrations in Alzheimer%26apos;s Disease: A Systematic Review and Meta-Analysis
Low temperature extruded implants based on novel hydrophilic multiblock copolymer for long-term protein delivery
Low Surface Traps Induced Noise ZrZnO Thin-Film Transistor Using Field-Plate Metal Technology
Low Temperature Superconducting Tunable Bandstop Resonator and Filter Using Superconducting RF MEMS Varactors
Low Profile Vertically Polarized Omnidirectional Wideband Antenna With Capacitively Coupled Parasitic Elements
Low scale supersymmetry breaking and its LHC signatures
Low rates of complications for carotid artery stenting are associated with a high clinician volume of carotid artery stenting and aortic endografting but not with a high volume of percutaneous coronary interventions
Low voltage extrinsic switching of ferroelectric delta-PVDF ultra-thin films
Low satisfaction with sex life among people with severe mental illness living in a community
Low temperature nanocomposite alignment films for optically compensated bend liquid crystal displays
Low Temperature Magnetic Properties of Ferromagnetic Layered Composites
Low legitimate pollen flow in distylic Tumera hermannioides (Passifloraceae) and its consequences on fruit and seed set
Low hemoglobin deferral in blood donors
Low irradiance alters carbon metabolism and delays flower stalk development in two orchids
Low Anterior Resection Syndrome and Quality of Life: an International Multicenter Study
Low temperature solution-processed ZnO nanorod arrays with application to liquid ethanol sensors
Low linolenic soybeans for biodiesel: Characteristics, performance and advantages
Low fractions of ionic liquid or poly(ionic liquid) can activate polysaccharide biomass into shaped, flexible and fire-retardant porous carbons
Low normal thyroid function enhances plasma cholesteryl ester transfer in Type 2 diabetes mellitus
Low serum 25-hydroxyvitamin D concentrations associate with non-alcoholic fatty liver disease in adolescents independent of adiposity
Low relative growth rates predict future mortality of common beech (Fagus sylvatica L.)
Low penetrance of autosomal dominant lateral temporal epilepsy in Italian families without LGI1 mutations
Low flow nocturnal oxygen therapy does not suppress haemoglobin levels or increase painful crises in sickle cell disease
Low frequency ultrasound-assisted leaching of sewage sludge for toxic metal removal, dewatering and fertilizing properties preservation
Low gate interface traps AlGaN/GaN HEMTs using a lattice matched ZrZnO transparent gate design
Low plasma DHEA-S increases mortality risk among male hemodialysis patients (vol 47, pg 950, 2012)
Low malignancy risk of thyroid follicular lesion of undetermined significance in patients from post-endemic areas
Low oxygen concentrations for embryo culture in assisted reproductive technologies
Low Oxygen Tension Enhances Hepatitis C Virus Replication
Low dimensionality of the surface conductivity of diamond
Low prevalence of methicillin-resistant Staphylococcus aureus among men who have sex with men attending an STI clinic in Amsterdam: a cross-sectional study
Low Socioeconomic Status and Psychological Distress as Synergistic Predictors of Mortality From Stroke and Coronary Heart Disease
Low sensitivity of type VII collagen enzyme-linked immunosorbent assay in epidermolysis bullosa acquisita: serration pattern analysis on skin biopsy is required for diagnosis
Low 25-Hydroxyvitamin D and Risk of Type 2 Diabetes: A Prospective Cohort Study and Metaanalysis
Low power digital alternative to analog control of step-down converter
Low serum testosterone is associated with atherosclerosis in postmenopausal women undergoing hemodialysis
Low Morbidity and Mortality in Children with Diabetic Ketoacidosis Treated with Isotonic Fluids
Low levels of HIV-1 RNA detected in the cerebrospinal fluid after up to 10 years of suppressive therapy are associated with local immune activation
Low temperature synthesis of nanosize rutile titania crystal in liquid media
Low temperature sintering and characterisation of ceria and yttria codoped zirconia/alumina composites crystallised in supercritical methanol
Low temperature sintering and mechanical properties of CeO2 and MO (M = Ca, Mg) codoped ZrO2 crystallised in supercritical methanol
Low High-Density Lipoprotein Cholesterol and Low/Normal Body Mass Index Are Associated With Increased Mortality in Coronary Artery Disease Patients in Taiwan
Low expression of SHP-2 is associated with less favorable prostate cancer outcomes
Low Density Lipoprotein Receptor Class A Repeats Are O-Glycosylated in Linker Regions
Low power super resolution fluorescence microscopy by lifetime modification and image reconstruction
Low Omega-3 Index in 106 German Elite Winter Endurance Athletes: A Pilot Study
Low thermal resistance GaN-on-diamond transistors characterized by three-dimensional Raman thermography mapping
Low frequency noise in field-plate multigate AlGaN/GaN single-pole-single-throw RF switches on silicon substrate
Low resolution X-ray structure of gamma-glutamyltranspeptidase from Bacillus licheniformis: Opened active site cleft and a cluster of acid residues potentially involved in the recognition of a metal ion
Low tidal volume pressure support versus controlled ventilation in early experimental sepsis in pigs
Low Intensity Pulsed Ultrasound ( LIPUS) Influences the Multilineage Differentiation of Mesenchymal Stem and Progenitor Cell Lines through ROCK-Cot/Tpl2-MEK-ERK Signaling Pathway
Low Serum 25-Hydroxyvitamin D Level and Risk of Upper Respiratory Tract Infection in Children and Adolescents
Low Cycle Fatigue Behavior of HT250 Gray Cast Iron for Engine Cylinder Blocks
Low skeletal muscle area is a risk factor for mortality in mechanically ventilated critically ill patients
Low Socioeconomic Status Is Associated with Worse Survival in Children with Cancer: A Systematic Review
Low resistive aluminum doped nanocrystalline zinc oxide for reducing gas sensor application via sol-gel process
Low Driving Voltage and High Mobility Ambipolar Field-Effect Transistors with PbS Colloidal Nanocrystals
Low normal free T4 confers decreased high-density lipoprotein antioxidative functionality in the context of hyperglycaemia
Low lysine diet in glutaric aciduria type I - effect on anthropometric and biochemical follow-up parameters
Low effect-site concentration of propofol target-controlled infusion reduces the risk of hypotension during endoscopy in a Taiwanese population
Low Cross-Sex Genetic Correlation in Carotenoid-Based Plumage Traits in the Blue Tit Nestlings (Cyanistes caeruleus)
Low superfluid density and possible multigap superconductivity in the BiS2-based layered superconductor Bi4O4S3
Low Incidence of Procedure-Related Major Adverse Cardiac Events After Alcohol Septal Ablation for Symptomatic Hypertrophic Obstructive Cardiomyopathy
Low temperature synthesis and blue photoluminescence of ZnS submicronparticles
Low level of HIV-1 evolution after transmission from mother to child
Low but Sufficient Anidulafungin Exposure in Critically Ill Patients
Low palaeopressure of the martian atmosphere estimated from the size distribution of ancient craters
Low temperature phase of the trigonal RbIn(MoO4)2 crystal
Low ecological disparity in Early Cretaceous birds
Low Energy Cosmic Ray Positron Fraction Explained by Charge-Sign Dependent Solar Modulation
Low ion energy RF reactor using an array of plasmas through a grounded grid
Low titer lentiviral transgenesis in rodents with simian immundeficiency virus vector
Low temperature Hydrogen Reduction of High Surface Area Anatase and Anatase/beta-TiO2 for High-Charging-Rate Batteries
Low Dose of Propranolol Does Not Affect Rat Osteotomy Healing and Callus Strength
Low ER plus Breast Cancer Is This a Distinct Group?
Low frequency of genotypic resistance in HIV-1-infected patients failing an atazanavir-containing regimen: a clinical cohort study
Low prevalence of meticillin-resistant Staphylococcus aureus carriage at hospital admission: implications for risk-factor-based vs universal screening
Low accuracy of manual white blood cell count in amniotic fluid
Low Yield of Routine Preoperative Coronary Computed Tomography Angiography in Patients Evaluated for Liver Transplantation
Low body mass index is associated with adverse oncological outcomes following radical prostatectomy in Korean prostate cancer patients
Low Temperature Structural Instability of Tetragonal Spinel Mn3O4
Low Serum Selenium Level Is Associated With Low Muscle Mass in the Community-Dwelling Elderly
Low Strigolactone Root Exudation: A Novel Mechanism of Broomrape (Orobanche and Phelipanche spp.) Resistance Available for Faba Bean Breeding
Low Temperature Electrochemical Properties of Li[NixCoyMn1-x-y]O-2 Cathode Materials for Lithium-Ion Batteries
Low power high-gain class-AB OTA with dynamic output current scaling
Low radioiodine uptake in congestive heart failure
Low Frequency Noise Characteristics on Al/Nb2O5/p-type Schottky Diode Fabricated by Pulsed DC Magnetron Sputtering
Low anisotropy of the upper critical field in a strongly anisotropic layered cuprate Bi2.15Sr1.9CuO6+delta: Evidence for a paramagnetically limited superconductivity
Low MDR1 and BAALC expression identifies a new subgroup of intermediate cytogenetic risk acute myeloid leukemia with a favorable outcome
Low level of inflammatory marker in hyperhomocysteinemic patients on statin therapy
Low host specificity of root-associated fungi at an Arctic site
Low cycle fatigue behavior of alloy 690 in simulated PWR water-Effects of dynamic strain aging and hydrogen
Low Integrated DNA Repair Score and Lung Cancer Risk
Low temperature-induced water-soaking of Dendrobium inflorescences: Relation with phospholipase D activity, thiobarbaturic-acid-staining membrane degradation products, and membrane fatty acid composition
Low Temperature Anharmonicity and Superconductivity in Cuprates
Low myelinated nerve-fibre density may lead to symptoms associated with nerve entrapment in vibration-induced neuropathy
Low molecular-weight heparin for thromboprophylaxis in patients undergoing gastric cancer surgery: an experience from one Korean institute
Low dispersive modeling of Rayleigh waves on partly staggered grids
Low expression of microRNA-129-5p predicts poor clinical outcome in diffuse large B cell lymphoma (DLBCL)
Low molecular weight heparin versus unfractionated heparin for perioperative thromboprophylaxis in patients with cancer
Low Connectivity between Mediterranean Marine Protected Areas: A Biophysical Modeling Approach for the Dusky Grouper Epinephelus marginatus
Low electric field DNA separation and in-channel amperometric detection by microchip capillary electrophoresis
Low temperature synthesis of alpha-alumina from aluminum hydroxide hydrothermally synthesized using [Al(C2O4)(x)(OH)(y)] complexes
Low Plasma 25-Hydroxyvitamin D and Risk of Tobacco-Related Cancer
Low platinum loading for high temperature proton exchange membrane fuel cell developed by ultrasonic spray coating technique
Low prevalence of abdominal aortic aneurysm in the Seychelles population aged 50 to 65 years
Low rates of X-Y recombination, not turnovers, account for homomorphic sex chromosomes in several diploid species of Palearctic green toads (Bufo viridis subgroup)
Low GFI1 expression in white blood cells of CP-CML patients at diagnosis is strongly associated with subsequent blastic transformation
Low Pepsinogen I Level Predicts Multiple Gastric Epithelial Neoplasias for Endoscopic Resection
Low frequency of androgen receptor gene mutations in 46 XY DSD, and fetal growth restriction
Low genetic diversity in marginal populations of Bletilla striata (Orchidaceae) in southern Korea: Insights into population history and implications for conservation
Low to moderate alcohol consumption on serum vitamin D and other indicators of bone health in postmenopausal women in a controlled feeding study
Low Cardiac Output Stimulates Vasopressin Release in Patients With Stage D Heart Failure - Its Relevance to Poor Prognosis and Reversal by Surgical Treatment
Low Temperature Fabrication of Dense Calcium Titanate Ceramics via Combustion Technique
Low energy isomers of (H2O)(25) from a hierarchical method based on Monte Carlo temperature basin paving and molecular tailoring approaches benchmarked by MP2 calculations
Low serum fetuin A levels and incident stroke in patients with maintenance haemodialysis
Low Mass Calibration Target for MM-Wave Remote Sensing Instruments
Low voltage model for the study of slow disturbances in medium voltage grids
Low hepatitis B envelope antigen seroconversion rate in chronic hepatitis B patients on long-term entecavir 0.5 mg daily in routine clinical practice
Low temperature aqueous phase synthesis of silver/silver chloride plasmonic nanoparticles as visible light photocatalysts
Low cost methodology for estrogens monitoring in water samples using dispersive liquid-liquid microextraction and HPLC with fluorescence detection
Low dimensional CuO nanocomposites synthesis by pulsed wire explosion and their crystal growth mechanism
Low bone mineral density is associated with poor clinical outcome in acute ischemic stroke
Low symmetry tetrahedral nematic liquid crystal phases: Ambidextrous chirality and ambidextrous helicity
Low pressure vapor-liquid equilibria modeling of biodiesel related systems with the Cubic-Plus-Association (CPA) equation of state
Low power zinc-oxide based charge trapping memory with embedded silicon nanoparticles via poole-frenkel hole emission
Low Levels of CD36 in Peripheral Blood Monocytes in Subclinical Atherosclerosis in Rheumatoid Arthritis: A Cross-Sectional Study in a Mexican Population
Low K+ current in arterial myocytes with impaired K+-vasodilation and its recovery by exercise in hypertensive rats
Low molecular weight oligochitosans for non-viral retinal gene therapy
Low Profile Wideband Antenna Array With Hybrid Microstrip and Waveguide Feed Network for Ku Band Satellite Reception Systems
Low temperature electronic transport in sputter deposited a-IGZO films
Low host-tree preferences among saproxylic beetles: a comparison of four deciduous species
Low temperature aqueous chemical growth, structural, and optical properties of Mn-doped ZnO nanowires
Low C-peptide levels and decreased expression of TNF and CD45 in children with high risk of type 1 diabetes
Low phase noise oscillator using a new planar hairpin resonator for X-band applications
Low cost, simple three dimensional electrochemical paper-based analytical device for determination of p-nitrophenol
Low Plasma Zinc Levels and Immunological Responses to Zinc Supplementation in HIV-Infected Patients with Immunological Discordance after Antiretroviral Therapy
Low Levels of Soluble NG2 in Cerebrospinal Fluid from Patients with Dementia with Lewy Bodies
Low temperature synthesis of AlN nanopowders by the microwave assisted combustion method
Low Trough Serum Infliximab and Antibodies to Infliximab in Smokers
Low diagnostic and predictive value of anti-dsDNA antibodies in unselected patients with recent onset of rheumatic symptoms: results from a long-term follow-up Scandinavian multicentre study
Low Wear of a Second-generation Highly Crosslinked Polyethylene Liner: A 5-year Radiostereometric Analysis Study
Low Treatment Rates in Patients Meeting Guideline Criteria in Diverse Practice Settings
Low frequency sonocatalytic degradation of Azo dye in water using Fe-doped zeolite Y catalyst
Low Level of TERC Gene Amplification between Chronic Myeloid Leukaemia Patients Resistant and Respond to Imatinib Mesylate Treatment
Low temperature degradation and reliability of one-piece ceramic oral implants with a porous surface
Low clinical diagnostic accuracy of early vs advanced Parkinson disease Clinicopathologic study
Low doses of Celecoxib attenuate gut barrier failure during experimental peritonitis
Low temperature water based electrolytes for MnO2/carbon supercapacitors
Low cardiac and aerobic scope in a coastal population of sockeye salmon Oncorhynchus nerka with a short upriver migration
Low surface area nanosilica from an agricultural biomass for fabrication of dental nanocomposites
Low Temperature Flex-on-Flex Assembly Using Polyvinylidene Fluoride Nanofiber Incorporated Sn58Bi Solder Anisotropic Conductive Films and Vertical Ultrasonic Bonding
Low resistance copper-based ohmic contact for AlGaN/GaN high electron mobility transistors
Low molecular weight heparin-induced increase in chylomicron-remnants clearance, is associated with decreased plasma TNF-alpha level and increased hepatic lipase activity
Low infectivity of a novel avian-origin H7N9 influenza virus in pigs
Low Serum 25 Hydroxyvitamin D Is Associated with Poor Clinicopathologic Characteristics in Female Patients with Papillary Thyroid Cancer
Low level of antimicrobial resistance in Escherichia coli among Swedish nursing home residents
Low pressure and low temperature synthesis of polyamide-6 (PA6) using Na-0 as catalyst
Low exergy modelling and performance analysis of greenhouses coupled to closed earth-to-air heat exchangers (EAHEs)
Low Clinical Penetrance in Causal Mutation Carriers for Cardiac Channelopathies
Low Dose Bexarotene Treatment Rescues Dopamine Neurons and Restores Behavioral Function in Models of Parkinson%26apos;s Disease
Low power low noise high speed tunable CMOS radiation detection system
Low Voltage Power Efficient Tunable Shaper Circuit With Rail-To-Rail Output Range for the HYDE Detector at FAIR
Low cost biosensor-based molecular differential diagnosis of alpha-thalassemia (Southeast Asia deletion)
Low polarization dependent beam deflector based on Bragg reflector waveguide for C-band wavelength demultiplexing
Low risk of HCC in patients who have PSC and cirrhosis
Low expression of activating transcription factor 3 in human hepatocellular carcinoma and its clinicopathological significance
Low complexity PARAFAC-based channel estimation for non-regenerative MIMO relay systems
Low latency search for gravitational waves from black-hole-neutron-star binaries in coincidence with short gamma-ray bursts
Low threshold multiwavelength Brillouin-erbium fiber laser generation in conjunction with a photonic crystal fiber
Low Occurrence of Listeria monocytogenes on Bovine Hides and Carcasses in Minas Gerais State, Brazil: Molecular Characterization and Antimicrobial Resistance
Low rates of automatic reporting of estimated glomerular filtration rate in Southern Brazilian laboratories
Low Serum Cultured Adipose Tissue-Derived Stromal Cells Ameliorate Acute Kidney Injury in Rats
Low Functional Redundancy in Sponges as a Result of Differential Picoplankton Use
Low Nadir CD4 Cell Count Predicts Sustained Hypertension in HIV-Infected Individuals
Low Serum Concentrations of Carotenoids and Vitamin E Are Associated with High Adiposity in Mexican-American Children
Low Bone Density in Systemic Sclerosis. A Systematic Review
Low Mg/Ca ratio alters material properties in sea urchin larvae skeleton
Low 25-hydroxyvitamin D level is associated with insulin sensitivity in obese adolescents with nonalcoholic fatty liver disease
Low prevalence of work disability in early inflammatory arthritis (EIA) and early rheumatoid arthritis at enrollment into a multi-site registry: results from the catch cohort
Low Frequency Raman Scattering in BaTiO3 Crystal
Low Temperature Curable Epoxy Siloxane Hybrid Materials for LED Encapsulant
Low Pre-Existing Gray Matter Volume in the Medial Temporal Lobe and White Matter Lesions Are Associated with Postoperative Cognitive Dysfunction after Cardiac Surgery
Low Temperature Measurement of the Electrical Conductivity in Amorphous InGaZnO Thin Films
Low expression of MSH2 DNA repair protein is associated with poor prognosis in head and neck squamous cell carcinoma
Low Dose Prenatal Alcohol Exposure Does Not Impair Spatial Learning and Memory in Two Tests in Adult and Aged Rats
Low concentrations of Rhodamine-6G selectively destroy tumor cells and improve survival of melanoma transplanted mice
Low carbon and low embodied energy materials in buildings: A review
Low temperature and high magnetic field spectroscopic ellipsometry system
Low Consumption Communications Over Shallow Water Acoustic Channels
Low body mass index in nutcracker phenomenon: an underrecognized condition Reply
Low Phase Noise LC-VCO with Active Source Degeneration
Low atrial fibrillatory rate is associated with spontaneous conversion of recent-onset atrial fibrillation
Low mannose-binding lectin (MBL) levels and MBL genetic polymorphisms associated with the risk of neonatal sepsis: An updated meta-analysis
Low incidences of acute and chronic graft-versus-host disease after unrelated bone marrow transplantation with low-dose anti-T lymphocyte globulin (vol 96, pg 773, 2012)
Low Birth Weight, Preterm Births, and Intrauterine Growth Retardation in Relation to Parental Smoking During Pregnancy
Low occurrence of Salmonella in the beef processing chain from Minas Gerais state, Brazil: From bovine hides to end cuts
Low Quality Evidence of Epidemiological Observational Studies on Leishmaniasis in Brazil
Low cost microfluidic cell culture array using normally closed valves for cytotoxicity assay
Low Energy N5+ Ion Induced Modification on the Flower-Like ZnSe Nanostructured Thin Films: Structural and Optical Properties
Low Critical Micelle Concentration Discrepancy between Theory and Experiment
Low frequency magnetic force augments hepatic differentiation of mesenchymal stem cells on a biomagnetic nanofibrous scaffold
Low albumin-to-globulin ratio associated with cancer incidence and mortality in generally healthy adults
Low Propagation Loss in a One-Port SAW Resonator Fabricated on Single-Crystal Diamond for Super-High-Frequency Applications
Low Physical Activity Level and Short Sleep Duration Are Associated with an Increased Cardio-Metabolic Risk Profile: A Longitudinal Study in 8-11 Year Old Danish Children
Low temperature ballistic spin transport in the S=1/2 antiferromagnetic Heisenberg chain compound SrCuO2
Low vertical transfer rates of carbon inferred from radiocarbon analysis in an Amazon Podzol
Low Temperature Crystal Structure Behaviour of Complex Yttrium Aluminium Oxides YAlO3 and Y3Al5O12
Low Level of Sequence Diversity at Merozoite Surface Protein-1 Locus of Plasmodium ovale curtisi and P. ovale wallikeri from Thai Isolates
Low pH capillary electrophoresis application to improve capillary electrophoresis-systematic evolution of ligands by exponential enrichment
Low density receptor-related protein 1 (LRP1) promotes anti-inflammatory phenotype in murine macrophages
Low Gradient Severe Aortic Stenosis With Preserved Ejection Fraction: Don%26apos;t Forget the Flow!
Low pressure preparation of spherical Si@C@CNT@C anode material for lithium-ion batteries
Low temperature catalytic reverse water gas shift reaction assisted by an electric field
Low Levels of Low-Density Lipoprotein Cholesterol: A Negative Predictor of Survival in Elderly Patients with Advanced Heart Failure
Low Cost Arc Fault Detection in Aerospace Applications
Low frequency dielectric characteristics of human blood: A non-equilibrium thermodynamic approach
Low plasma vitamin B-12 is associated with a lower pregnancy-associated rise in plasma free choline in Canadian pregnant women and lower postnatal growth rates in their male infants
Low Energy Conformations and Gas-Phase Acidity and Basicity of Pyrrolysine
Low cytotoxic tissue adhesive based on oxidized dextran and epsilon-poly-L-lysine
Low resistance indium tin oxide contact to n-GaAs nanowires
Low Complexity Zero-Forcing Beamforming for Distributed Massive MIMO Systems in Large Public Venues
Low temperature hydrothermal synthesis and magnetic studies of YMnO3 nanorods
Low melting point pyridinium ionic liquid pretreatment for enhancing enzymatic saccharification of cellulosic biomass
Low metabolic activity of biofilm formed by Enterococcus faecalis isolated from healthy humans and wild mallards (Anas platyrhynchos)
Low anal sphincter tone in infantile-onset Pompe Disease: An emerging clinical issue in enzyme replacement therapy patients requiring special attention
Low carbon society scenario 2050 in Thai industrial sector
Low Heart Rates Predict Incident Atrial Fibrillation in Healthy Middle-Aged Men
Low RCS microstrip antenna using polarisation-dependent frequency selective surface
Low temperature heat capacity of water clusters
Low density lipoprotein delays clearance of triglyceride-rich lipoprotein by human subcutaneous adipose tissue
Low Temperature Expansion in the Lifshitz Formula
Low Temperature Stabilization of Nanoscale Epitaxial Spinel Ferrite Thin Films by Atomic Layer Deposition
Low molecular weight aromatic compounds possessing nonflammable and flammable characteristics in calcium fluoride nanocomposite matrices after calcination at 800 degrees C
Low Temperature Solution-Phase Deposition of SnS Thin Films
Low friction along the high slip patch of the 2011 Mw 9.0 Tohoku-Oki earthquake required from the wedge structure and extensional splay faults
Low Plasma Arginine: Asymmetric Dimethyl Arginine Ratios Predict Mortality After Intracranial Aneurysm Rupture
Low polarization-sensitive asymmetric multi-quantum well semiconductor amplifier for next-generation optical access networks
Low Level Laser Therapy: laser radiation absorption in biological tissues
Low energy C+ ion embedment induced structural disorder in L1(0) FePt
Low Complexity Formant Estimation Adaptive Feedback Cancellation for Hearing Aids Using Pitch Based Processing
Low anterior resection syndrome (LARS): cause and effect and reconstructive considerations
Low incidence of melanoma brain metastasis in the hippocampus
Low Density Lipoprotein Binds to Proprotein Convertase Subtilisin/Kexin Type-9 (PCSK9) in Human Plasma and Inhibits PCSK9-mediated Low Density Lipoprotein Receptor Degradation
Low Temperature-Responsive Changes in the Anther Transcriptome's Repeat Sequences Are Indicative of Stress Sensitivity and Pollen Sterility in Rice Strains
Low temperature fabrication of lead-free KNN-LS-BS ceramics via the combustion method
Low Complexity Keypoint Extraction Based on SIFT Descriptor and Its Hardware Implementation for Full-HD 60 fps Video
Low temperature synthesis of SAPO-34 in a recirculating-flow system
Low Levels of Serum n-3 Polyunsaturated Fatty Acids Are Associated With Worse Heart Failure-Free Survival in Patients After Acute Myocardial Infarction
Low computational cost technique for predictive management of thermal comfort in non-residential buildings
Low Serum LDL Cholesterol Levels Are Associated with Elevated Mortality from Liver Cancer in Japan: the Ibaraki Prefectural Health Study
Low serum eicosapentaenoic acid/arachidonic acid ratio in male subjects with visceral obesity
Low power UV photodetection characteristics of cross-linked ZnO nanorods/nanotetrapods grown on silicon chip
Low Levels of Antibody-Dependent Enhancement in Vitro Using Viruses and Plasma from Dengue Patients
Low Thermal Conductivity Yttria-Stabilized Zirconia Thermal Barrier Coatings Using the Solution Precursor Plasma Spray Process
Low Thalamic NAA-Concentration Corresponds to Strong Neural Activation in Working Memory in Kleine-Levin Syndrome
Low amounts of mitochondrial reactive oxygen species define human sperm quality
Low blood cell counts in wild Japanese monkeys after the Fukushima Daiichi nuclear disaster
Low varicella-related consultation rate in the Netherlands in primary care data
Low perception of sexual behaviours at risk for human immunodeficiency virus infection among blood donors who call the AIDS/STI Help Line in Italy
Low cost acetone sensors with selectivity over water vapor based on screen printed TiO2 nanoparticles
Low C4 gene copy numbers are associated with superior graft survival in patients transplanted with a deceased donor kidney
Low Temperature Fabrication of Lead-Free KNN-BNT Ceramics via the Combustion Technique
Low complexity object detection and tracking with inter-layer graph mapping and intra-layer graph refinement in H.264/SVC bitstreams
Low Serum Vitamin D Is Associated with Anti-Thyroid Peroxidase Antibody in Autoimmune Thyroiditis
Low temperature synthesis of monodisperse nanoscaled ZrO2 with a large specific surface area
Low radiation dose protocol in cardiac CT with 100 kVp: usefulness of display preset optimization
Low Power Upconversion Mixer for Medical Remote Sensing
Low temperature synthesis of seed mediated CuO bundle of nanowires, their structural characterisation and cholesterol detection
Low carbohydrate diets not always benign
Low genetic diversity of a high mountain burnet moth species in the Pyrenees
Low dose of hydroxyethyl starch impairs clot formation as assessed by viscoelastic devices
Low dose PTH improves metaphyseal bone healing more when muscles are paralyzed
Low attenuation of GHz Rayleigh-like surface acoustic waves in ZnO/GaAs systems immersed in liquid helium
Low hydrogen peroxide production in mitochondria of the long-lived Arctica islandica: underlying mechanisms for slow aging
Low Loss and High Bandwidth Polystyrene-Based Graded Index Polymer Optical Fiber
Low prevalence of positive skin pathergy testing in Danish patients with Behcet's disease
Low intensity infrared laser affects expression of oxidative DNA repair genes in mitochondria and nucleus
Low noise amplifier for radio astronomy
Low temperature sintering and microwave dielectric properties of 0.6Li(2)ZnTi(3)O(8)-0.4Li(2)TiO(3) ceramics doped with ZnO-B2O3-SiO2 glass
Low temperature DC conductivity, impedance spectroscopy and dielectric properties of Na doped Cd0.8Zn0.2S semiconductor compounds
Low temperature Al2O3 surface passivation for carrier-injection SiGe optical modulator
Low temperature rf-sputtered In and Al co-doped ZnO thin films deposited on flexible PET substrate
Low apparent valence of Mg during corrosion
Low temperature transport in p-doped InAs nanowires
Low reactivity of methane on copper surface during graphene synthesis via CVD process: Ab initio molecular dynamics simulation
Low Medium pH Value Enhances Anthocyanin Accumulation in Malus Crabapple Leaves
Low level of efavirenz in HIV-1-infected Thai adults is associated with the CYP2B6 polymorphism
Low mannose-binding lectin serum levels are associated with reduced kidney graft survival
Low gene expression levels of activating receptors of natural killer cells (NKG2E and CD94) in patients with fulminant type 1 diabetes
Low prevalence of human herpesvirus-6 and varicella zoster virus in blood of multiple sclerosis patients, irrespective of inflammatory status or disease progression
Low Adherence to Upfront and Extended Adjuvant Letrozole Therapy among Early Breast Cancer Patients in a Clinical Practice Setting
Low Temperature Formation of Silicon Oxide Thin Films by Atomic Layer Deposition Using NH3/O-2 Plasma
Low Core-Mantle Boundary Temperature Inferred from the Solidus of Pyrolite
Low fish intake is associated with low blood concentrations of vitamin D, choline and n-3 DHA in pregnant women
Low Amplitude Characterization Tests Conducted at Regular Intervals Can Affect Tendon Mechanobiological Response
Low Dose Prenatal Ethanol Exposure Induces Anxiety-Like Behaviour and Alters Dendritic Morphology in the Basolateral Amygdala of Rat Offspring
Low intra-crystalline closure temperatures of Cr-bearing spinels from the mantle xenoliths of the Middle Atlas Neogene-Quaternary Volcanic Field (Morocco): Mineralogical evidence of a cooler mantle beneath the West African Craton
Low temperature growth of carbon nanotubes on carbon fibre to create a highly networked fuzzy fibre reinforced composite with superior electrical conductivity
Low Pressure Radio-Frequency Oxygen Plasma Induced Oxidation of Titanium - Surface Characteristics and Biological Effects
Low Dark Current Amorphous Silicon Metal-Semiconductor-Metal Photodetector for Digital Imaging Applications
Low Testosterone Levels, Depressive Symptoms, and Falls in Older Men: A Cross-Sectional Study
Low thermal budget Hf/Al/Ta ohmic contacts for InAlN/GaN-on-Si HEMTs with enhanced breakdown voltage
Low rate of fistula formation after Sommerlad palatoplasty with or without lateral incisions: An analysis of risk factors for formation of fistulas after palatoplasty
Low compliance with dietary recommendations for food intake among adults
Low interface trap density Al2O3/In0.53Ga0.47As MOS capacitor fabricated on MOCVD-grown InGaAs epitaxial layer on Si substrate
Low concentrations, potential ecological consequences: Synthetic estrogens alter life-history and demographic structures of aquatic invertebrates
Low androstenedione/sex hormone binding globulin ratio increases fracture risk in postmenopausal women. The Women%26apos;s Health in the Lund Area study
Low Frequency Electrical and Magnetic Methods for Non-Destructive Analysis of Fiber Dispersion in Fiber Reinforced Cementitious Composites: An Overview
Low Serum Concentrations of Anti-Mullerian Hormone Are Common in 53 Female Childhood Cancer Survivors
Low prevalence of germline PALB2 mutations in Australian triple-negative breast cancer
Low Temperature Growth of Double Walled Carbon Nanotubes Using FeMoMgO Catalyst
Low genetic diversity and limited gene flow in a dominant mangrove tree species (Rhizophora stylosa) at its northern biogeographical limit across the chain of three Sakishima islands of the Japanese archipelago as revealed by chloroplast and nuclear SSR analysis
Low fertilization rates in a pelagic copepod caused by sexual selection?
Low complexity source and channel coding for Mm-wave hybrid fiber-wireless links
Low levels of 3,3 %26apos;-diindolylmethane activate estrogen receptor a and induce proliferation of breast cancer cells in the absence of estradiol
Low cost lab-on-a-chip prototyping with a consumer grade 3D printer
Low temperature activation of S-8, Se-red and alpha-Te with [(CpFe)-Fe-BIG(CO)(2)] radicals
Low Frequency of HNPCC-Associated Microsatellite Instability and Aberrant MMR Protein Expression in Early-Onset Bladder Cancer
Low expression of microRNA-30c promotes invasion by inducing epithelial mesenchymal transition in non-small cell lung cancer
Low levels of vitamin D are associated with increased mortality in patients attending a university hospital in Denmark
Low Incidence of Pseudoprogression by Imaging in Newly Diagnosed Glioblastoma Patients Treated With Cediranib in Combination With Chemoradiation
Low wall stress in the popliteal artery: Other mechanisms responsible for the predilection of aneurysmal dilatation?
Low Energy Phonon Excitations in Relaxor Ferroelectric (1-x)Pb(Zn1/3Nb2/3)O-3-xPbTiO(3)
Low molecular weight heparin decreases thrombosis risk in patients receiving chemotherapy for cancer
Low vitamin D concentration exacerbates adult brain dysfunction
Low Frequency of ESRRA-C11orf20 Fusion Gene in Ovarian Carcinomas
Low RNA translation activit limits the efficacy of hydrodynamic gene transfer to pig liver in vivo
Low Variability of Growth Parameters among Six O157:H7 and Non-O157:H7 Escherichia coli Strains
Low risk of neurosensory dysfunction after mandibular third molar surgery in patients less than 30 years of age. A prospective study following removal of 1220 mandibular third molars
Low temperature thin film transistors with hollow cathode plasma-assisted atomic layer deposition based GaN channels
Low damage-transfer of graphene using epoxy bonding
Low microbial respiration of leucine at ambient oceanic concentration in the mixed layer of the central Atlantic Ocean
Low temperature synthesis of single crystal ZnO microflower composed of hexagonal nanorods
Low Complexity Out-of-Order Issue Logic Using Static Circuits
Low cycle fatigue behavior of Cr-Mo-V low alloy steel used for railway brake discs
Low antitubercular drug levels in newly infected normal hosts
Low side-lobe horn antenna with nonuniform slot array
Low ABCB1 Gene Expression Is an Early Event in Colorectal Carcinogenesis
Low Back Load Produced by Changing a Diaper at Various Bed Heights Experienced by Female Care Workers in a Nursing Home
Low Prevalence of Toxoplasma gondii Infection Among Women in Northeastern Thailand
Low Hepatitis C Viral Load Predicts Better Long-Term Outcomes in Patients Undergoing Resection of Hepatocellular Carcinoma Irrespective of Serologic Eradication of Hepatitis C Virus
Low prevalence of %26apos;classical%26apos; microscopic colitis but evidence of microscopic inflammation in Asian Irritable Bowel Syndrome patients with diarrhoea
Low mood and depression in adolescence: clinical update
Low major histocompatibility complex diversity in the Tasmanian devil predates European settlement and may explain susceptibility to disease epidemics
Low Hip Bone Mineral Density Predicts Mortality in Maintenance Hemodialysis Patients: A Five-Year Follow-Up Study
Low expression of dendritic cell-specific intercellular adhesion molecule-3-grabbing nonintegrin in non-Hodgkin lymphoma and a significant correlation with beta 2-microglobulin
Low Pain Intensity After Opioid Withdrawal as a First Step of a Comprehensive Pain Rehabilitation Program Predicts Long-term Nonuse of Opioids in Chronic Noncancer Pain
Low temperature red luminescence of a fluorinated Mn-doped zinc selenite
Low Birthweight and Premature Birth Are Risk Factors for Podocytopenia and Focal Segmental Glomerulosclerosis
Low Endogenous and Chemical Induced Heat Shock Protein Induction in a 0N3Rtau-Expressing Drosophila Larval Model of Alzheimer%26apos;s Disease
Low temperature nanoscale electronic transport on the MoS2 surface
Low doses of grape seed procyanidins reduce adiposity and improve the plasma lipid profile in hamsters
Low energy, low latitude wave-dominated shallow marine depositional systems: examples from northern Borneo
Low embodied energy cement containing untreated RHA: A strength development and durability study
Low glycaemic index diets improve glucose tolerance and body weight in women with previous history of gestational diabetes: a six months randomized trial
Low Concentration Fe-Doped Alumina Catalysts Using Sol-Gel and Impregnation Methods: The Synthesis, Characterization and Catalytic Performance during the Combustion of Trichloroethylene
Low fractal dimension of clusters with repulsive interactions in colloidal aggregation
Low dielectric loss, dielectric response, and conduction behavior in Na-doped Y2/3Cu3Ti4O12 ceramics
Low immediate postoperative platelet count is associated with hepatic insufficiency after hepatectomy
Low methanol permeable and highly selective membranes composed of pure and/or partially sulfonated PVdF-co-HFP and polyaniline
Low molecular weight heparin (LMWH) for primary thrombo-prophylaxis in patients with solid malignancies - systematic review and meta-analysis
Low Plasma Vitamin D Levels and Muscle-Related Adverse Effects in Statin Users
Low loss factor Co(2)Z ferrite composites with equivalent permittivity and permeability for ultra-high frequency applications
Low plasma C-reactive protein level as an early diagnostic tool for heatstroke vs central nervous system-associated infection in the ED
Low T3 syndrome predicts severe neurological deficits of cerebral infarction inpatients with large artery artherosclerosis in internal carotid artery system
Low genetic diversity and significant structuring in the endangered Mentha cervina populations and its implications for conservation
Low frequency mechanical actuation accelerates reperfusion in-vitro
Low Rate of Detection of Mucosal High-Risk-Type Human Papillomavirus in Korean Patients with Extragenital Bowen%26apos;s Disease and Squamous Cell Carcinoma, Especially in Digital Cases
Low potential biofuel cell anodes based on redox polymers with covalently bound phenothiazine derivatives for wiring flavin adenine dinucleotide-dependent enzymes
Low Circulating Monocyte Count is Associated with Severe Aortic Valve Stenosis
Low Voltage Sodium Intercalation in NaxNix/2Ti1-x/2O2 (0.5 <= x <= 1.0)
Low Complexity Reconfigurable Turbo Decoder for Wireless Communication Systems
Low GWP refrigerants R1234ze(E) and R1234ze(Z) for high temperature heat pumps
Low temperature constrains growth rates but not short-term ingestion rates of Antarctic ciliates
Low concentrations of recombinant granulocyte macrophage-colony stimulating factor derived from Chinese hamster ovary cells augments long-term bioactivity with delayed clearance in vitro
Low temperature ammonothermal synthesis of europium-doped SrAlSiN3: effect of mineralizers
Low protein provision during the first year of life, but not during foetal life, affects metabolic traits, organ mass development and growth in male mink (Neovison vison)
Low bone turnover phenotype in Rett syndrome: results of biochemical bone marker analysis
Low sensitivity to glucocorticoid inhibition of in vitro Th17-related cytokine production in multiple sclerosis patients is related to elevated plasma lipopolysaccharide levels
Low temperature studies of the photoluminescence from colloidal CdSe nanocrystals prepared by the hot injection method in liquid paraffin
Low Percentage of Free Prostate-specific Antigen (PSA) Is a Strong Predictor of Later Detection of Prostate Cancer Among Japanese Men With Serum Levels of Total PSA of 4.0 ng/mL or Less
Low Voltage Activation of KCa1.1 Current by Cav3-KCa1.1 Complexes
Low scattering loss fiber with segmented-core and depressed inner cladding structure
Low Nonfasting Triglycerides and Reduced All-Cause Mortality: A Mendelian Randomization Study
Low temperature phase properties of water confined in mesoporous silica MCM-41: Thermodynamic and neutron scattering study
Low expression of glucocorticoid receptor alpha in oral lichen planus correlates with activation of nuclear factor kappa B: a preliminary study
Low Prostate Concentration of Lycopene Is Associated with Development of Prostate Cancer in Patients with High-Grade Prostatic Intraepithelial Neoplasia
Low and moderate photosynthetically active radiation affects the flavonol glycosides and hydroxycinnamic acid derivatives in kale (Brassica oleracea var. sabellica) dependent on two low temperatures
Low dose naltrexone for induction of remission in Crohn's disease
Low phylogeographic structure of Rhinella arunco (Anura: Bufonidae), an endemic amphibian from the Chilean Mediterranean hotspot
Low level of LAT-PLC-gamma 1 interaction is associated with Th2 polarized differentiation: A contributing factor to the etiology of asthma
Low band gap polymers for application in solar cells: synthesis and characterization of thienothiophene-thiophene copolymers
Low papillary structure in lepidic growth component of Lung adenocarcinoma: a unique histologic hallmark of aggressive behavior
Low dose of methylmercury (MeHg) exposure induces caspase mediated-apoptosis in cultured neural progenitor cells
Low vitamin D and hypertension: a causal association?
Low Iodine Diet for One Week Is Sufficient for Adequate Preparation of High Dose Radioactive Iodine Ablation Therapy of Differentiated Thyroid Cancer Patients in Iodine-Rich Areas
Low temperature synthesis of Al-doped Li7La3Zr2O12 solid electrolyte by a sol-gel process
Low Nitrogen Fertilization Adapts Rice Root Microbiome to Low Nutrient Environment by Changing Biogeochemical Functions
Low Inoculum Densities of Bradyrhizobium japonicum USDA 110 is Effective on Production of Soybean (Glycine max L. Merr.) Cultivar Fukuyutaka
Low loss silica high-mesa waveguide for infrared sensing
Low temperature synthesis of ionic phosphates in dimethyl sulfoxide
Low and Declining Enrollment of Women in Canadian Diagnostic Radiology Programs
Low Formalin Concentrations Induce Fine-Tuned Responses That Are Sex and Age-Dependent: A Developmental Study
Low Ozone Episodes at Amphitrite Point Marine Boundary Layer Observatory, British Columbia, Canada
Low temperature synthesis and ionic conductivity of the epitaxial Li0.17La0.61TiO3 film electrolyte
Low Serum Concentration of Obestatin as a Predictor of Mortality in Maintenance Hemodialysis Patients
Low dose UV-C illumination as an eco-innovative disinfection system on minimally processed apples
Low incidence of hepatitis B e antigen seroconversion in patients treated with oral nucleos(t)ides in routine practice
Low 25-OH Vitamin D is Associated with Benign Prostatic Hyperplasia
Low dose capecitabine plus weekly paclitaxel in patients with metastatic breast cancer: a multicenter phase II study KBCSG-0609
Low treatment initiation rates among multidrug-resistant tuberculosis patients in Gauteng, South Africa, 2011
Low compliance bladder plays a role in hydronephrosis in lupus cystitis: a case report
Low Temperature Assembly of Functional 3D DNA-PNA-Protein Complexes
Low Temperature Ignition of Methane Partial Oxidation over Ni/LaAlO3 Catalyst
Low toxicity functionalised imidazolium salts for task specific ionic liquid electrolytes in dye-sensitised solar cells: a step towards less hazardous energy production
Low Vitamin D Status Is Associated with Nonalcoholic Fatty Liver Disease Independent of Visceral Obesity in Korean Adults
Low Temperature Plasma: A Novel Focal Therapy for Localized Prostate Cancer?
Low Power Very High Frequency Switch-Mode Power Supply With 50 V Input and 5 V Output
Low BMD is an independent predictor of fracture and early menopause of mortality in post-menopausal women - A 34-year prospective study
Low Molecular Weight Chitosan Accelerates Glucagon-like Peptide-1 Secretion in Human Intestinal Endocrine Cells via a p38-Dependent Pathway
Low dark current and high speed ZnO metal-semiconductor-metal photodetector on SiO2/Si substrate
Low bone mineral density in young individuals is associated with greater gingival inflammation and recession
Low concentrations of ethanol stimulate biofilm and pellicle formation in Pseudomonas aeruginosa
Low temperatures counteract short-day induced nitrogen storage, but not accumulation of bark storage protein transcripts in bark of grey poplar (Populus x canescens) trees
Low Pyridoxine Concentrations Enhance Lipopolysaccharide-Stimulated Gene Expression of Cyclooxygenase-2 and Inducible Nitric Oxide Synthase in RAW264.7 Cells
Low temperature solid-state synthesis and characterization of uniform YF3 submicroparticles
Low VOC self-crosslinking waterborne acrylic coatings incorporating fatty acid derivatives
Low glibenclamide concentrations affect endoplasmic reticulum stress in INS-1 cells under glucotoxic or glucolipotoxic conditions
Low ERCC1 expression is associated with prolonged survival in patients with bladder cancer receiving platinum-based neoadjuvant chemotherapy
Low Molecular Weight Fucoidan Improves Endoplasmic Reticulum Stress-Reduced Insulin Sensitivity through AMP-Activated Protein Kinase Activation in L6 Myotubes and Restores Lipid Homeostasis in a Mouse Model of Type 2 Diabetes
Low temperature molten salt synthesis of anatase TiO2 and its electrochemical properties
Low Roll-Off and High Efficiency Orange Organic Light Emitting Diodes with Controlled Co-Doping of Green and Red Phosphorescent Dopants in an Exciplex Forming Co-Host
Low burrow occupancy and breeding success of burrowing petrels at Gough Island: a consequence of mouse predation
Low resistivity of Ni-Al co-doped ZnO thin films deposited by DC magnetron sputtering at low sputtering power
Low energy positron interactions with uracil-Total scattering, positronium formation, and differential elastic scattering cross sections
Low vitamin D levels increase anemia risk in Korean women
Low temperature DSC characterisation of water in opal
Low fat intake is associated with pathological manifestations and poor recovery in patients with hepatocellular carcinoma
Low expression of tissue inhibitor of metalloproteinase-2 may be associated with high-risk myelodysplastic syndrome
Low Level Constraints on Dynamic Contour Path Integration
Low calcium intake and inadequate vitamin D status in postmenopausal osteoporotic women
Low sclareol by-product of clary sage concrete: chemical analysis of a waste product of the perfume industry
Low Complexity User Scheduling, Ordering and Transmit Covariance Matrix Optimization Algorithms for Successive Zero-Forcing Precoding
Low Incidence of Late Pseudoaneurysm and Reoperation After Conventional Repair of Acute Type A Aortic Dissection
Low level of immunity against hepatitis A among Korean adolescents: Vaccination rate and related factors
Low Temperature Toluene Oxidation Over Pt Nanoparticles Supported on Yttria Stabilized-Zirconia
Low frequency cMUT technology: Application to measurement of brain movement and assessment of bone quality
Low pressure carbon dioxide solubility in lithium-ion batteries based electrolytes as a function of temperature. Measurement and prediction
Low abundance drug resistance variants in transmitted HIV drug resistance surveillance specimens identified using tagged pooled pyrosequencing
Low papillary structures in lepidic lung adenocarcinoma: any relationship with micropapillary lung adenocarcinoma?-reply
Low vacuum re-infusion drains after total knee arthroplasty: is there a real benefit?
Low temperature high-mobility InZnO thin-film transistors fabricated by excimer laser annealing
Low Noise Amplifiers with Double Loop Feedback
Low temperature hydrogenation of iron nanoparticles on graphene
Low valent palladium benzoquinone complexes bearing different spectator ligands. The versatile coordinative capability of benzoquinone
Low bone mass is prevalent in male-to-female transsexual persons before the start of cross-sex hormonal therapy and gonadectomy
Low frequency azimuthal stability of the ionization region of the Hall thruster discharge. I. Local analysis
Low Temperature One-Step Synthesis of Poly(barbituric acid) Functionalized Magnetic Nanoparticles for Removal of Heavy Metal Ions
Low FODMAP Diet in the Treatment of Irritable Bowel Syndrome: Is It the End of the Road or the Beginning of a Journey? Reply
Low temperature synthesis of silicon carbide nanomaterials using a solid-state method
Low serum trough levels are associated with post-surgical recurrence in Crohn%26apos;s disease patients undergoing prophylaxis with adalimumab
Low comorbidity in people of very advanced age
Low Wall Shear Stress Is Independently Associated With the Rupture Status of Middle Cerebral Artery Aneurysms
Low dose of Betamethasone throughout the whole course of pregnancy and fetal growth: a clinical study
Low Vitamin D Concentration Is Not Associated with Increased Mortality and Morbidity after Cardiac Surgery
Low temperature MQ NMR dynamics in dipolar ordered state
Low Sodium Intake - Cardiovascular Health Benefit or Risk?
Low Potency Homeopathic Remedies and Allopathic Herbal Medicines: Is There an Overlap?
Low but measurable stimulated serum thyroglobulin levels < 2 mu g/L frequently predict incomplete response in differentiated thyroid cancer patients
Low dose effects of ionizing radiation on normal tissue stem cells
Low Temperature Superconducting RF MEMS Devices
Low pregnancy-associated plasma protein A level in the first trimester
Low positive predictive value of referrals for infantile esotropia among children of Chinese descent
Low Temperature Preparation of 3D Solid and Hollow ZnS Nanosphere Self-Assembled from Nanoparticles by Varying Sulfur Source
Low RKIP expression associates with poor prognosis in bladder cancer patients
Low area/power decimal addition with carry-select correction and carry-select sum-digits
Low Complexity Concurrent Error Detection for Complex Multiplication
Low genetic diversity and high levels of inbreeding in the Sinai primrose (Primula boveana), a species on the brink of extinction
Low bone mineral density is associated to poor glycemic control and increased OPG expression in children and adolescents with type 1 diabetes
Low cycle fatigue behavior of Zircaloy-2 at room temperature
Low Power Wireless Sensor Network for Building Monitoring
Low temperature growth of ZnO nanowire arrays with enhanced high performance photocatalytic activity and reusability
Low bone mineral density is associated with dyslipidemia in South Korean men: The 2008-2010 Korean National Health and Nutrition Examination Survey
Low faecal haemoglobin concentration potentially rules out significant colorectal disease
Low fat-free mass as a marker of mortality in community-dwelling healthy elderly subjects(dagger)
Low FasL levels promote proliferation of human bone marrow-derived mesenchymal stem cells, higher levels inhibit their differentiation into adipocytes
Low sensitivity of malaria rapid diagnostic tests stored at room temperature in the Brazilian Amazon Region
Low transformation growth factor-beta 1production and collagen synthesis correlate with the lack of hepatic periportal fibrosis development in undernourished mice infected with Schistosoma mansoni
Low apparent diffusion coefficient cluster-based analysis of diffusion-weighted MRI for prognostication of out-of-hospital cardiac arrest survivors
Low dimensional projective indecomposable modules for Chevalley groups in defining characteristic
Low cost surrogate model based evolutionary optimization solvers for inverse heat conduction problem
Low temperature and decay lifetime photoluminescence of Eu and Tb nanoparticles embedded into SiO2
Low Temperature Heat Capacities and Standard Molar Enthalpy of Formation of the Coordination Compound Zn(Met)(3)(NO3)(2)center dot H2O(s) (Met=L-alpha-Methionine)
Low temperature crystallization of yttrium orthoferrite by organic acid-assisted sol-gel synthesis
Low temperature oxidation of methanol to methyl formate over Pd nanoparticles supported on gamma-Fe2O3
Low tungsten content of nanostructured material supported on carbon for the degradation of phenol
Low Doses of Rotigotine in Patients With Antipsychotic-Induced Parkinsonism
Low precessional damping observed for L1(0)-ordered FePd epitaxial thin films with large perpendicular magnetic anisotropy
Low X-ray luminosity galaxy clusters - II. Optical properties and morphological content at 0.18 < z < 0.70
Low induction of non-photochemical quenching and high photochemical efficiency in the annual desert plant Anastatica hierochuntica
Low dose propranolol decreases orthodontic movement
Low hemorrhage-related mortality in trauma patients in a Level I trauma center employing transfusion packages and early thromboelastography-directed hemostatic resuscitation with plasma and platelets
Low seroconversion after one dose of AS03-adjuvanted H1N1 pandemic influenza vaccine in solid-organ transplant recipients
Low bandgap polymers with benzodithiophene and bisthienylacrylonitrile units for photovoltaic applications
Low background high efficiency radiocesium detection system based on positron emission tomography technology
Low interbasin connectivity in a facultatively diadromous fish: evidence from genetics and otolith chemistry
Low night temperature and water deficit on photosynthesis of sugarcane
Low Prevalence of Cfr-Mediated Linezolid Resistance among Methicillin-Resistant Staphylococcus aureus in a Spanish Hospital: Case Report on Linezolid Resistance Acquired during Linezolid Therapy
Low and Medium but Not High Doses of Green Tea Polyphenols Ameliorated Dextran Sodium Sulfate-Induced Hepatotoxicity and Nephrotoxicity
Low temperatures enhance the toxicity of copper and cadmium to Enchytraeus crypticus through different mechanisms
Low vaccination coverage against influenza in elderly hospitalized in France
Low Temperature Processing of Reaction-Bonded Boron Carbide Composites
Low Expression of HLA-DRA, HLA-DPA1, and HLA-DPB1 is Associated With Poor Prognosis in Pediatric Adrenocortical Tumors (ACT)
Low vigorous physical activity at ages 15, 19 and 27: childhood socio-economic position modifies the tracking pattern
Low levels of physical activity in patients with severe mental illness
Low coherence interferometric second harmonic generation microscopy for non-destructive material testing using a broadband 1550 nm fs-fiber laser
Low Cell Density Regulator AphA Upregulates the Expression of Vibrio vulnificus iscR Gene Encoding the Fe-S Cluster Regulator IscR
Low temperature properties of winterized methyl babassu biodiesel
Low Glucose but Not Galactose Enhances Oxidative Mitochondrial Metabolism in C2C12 Myoblasts and Myotubes
Low Water Temperature Retards White Spot Syndrome Virus Replication in Astacus leptodactylus Crayfish
Low voltage dual mode logic: Model analysis and parameter extraction
Low velocity transverse impact response of a composite sandwich plate subjected to a rigid blunted cylindrical impactor
Low level of procoagulant platelet microparticles is associated with impaired coagulation and transfusion requirements in trauma patients
Low temperature atomic layer deposition of noble metals using ozone and molecular hydrogen as reactants
Low serum level of secreted frizzled-related protein 5, an anti-inflammatory adipokine, is associated with coronary artery disease
Low temperature stress ethylene and not Fusarium, might be responsible for mango malformation
Low INSL3 in Klinefelter syndrome is related to osteocalcin, testosterone treatment and body composition, as well as measures of the hypothalamic-pituitary-gonadal axis
Low frequency acoustic resonances in urban courtyards
Low velocity impact response of thick FGM beams with general boundary conditions in thermal field
Low rate of bacteremia with a subcutaneously implanted central venous access device
Low Writing Field on Perpendicular Nano-ferromagnetic
Low volume tubes are not effective to reduce the rate of hemolyzed specimens from the emergency department
Low %26 high scale MSSM inflation, gravitational waves and constraints from Planck
Low power readout electronics for a UV MCP detector with cross strip anode
Low Voltage Rf Mems Capacitive Shunt Switches
Low dimensional nanocarbons - chemistry and energy/electron transfer reactions
Low parasitism by Diaeretiella rapae (Hym.: Braconidae) of Lipaphis pseudobrassicae (Hemip.: Aphididae): pre- or post-ovipositional host resistance?
Low nutritive quality of own-mixed chicken rations in Kampala City, Uganda
Low levels of tree retention do not mitigate the effects of clearcutting on ground vegetation dynamics
Low risk of colon cancer in patients with celiac disease
Low Bone Mineral Density in Friedreich Ataxia
Low frequency mu-like activity characterizes cortical rhythms in epilepsy due to ring chromosome 20
Low Temperature Vortex Dynamics in Superconducting Nb Films Containing Square and Rectangular Arrays of Ni Nanodots
Low Distortion Switching Amplifier With Discrete-Time Click Modulation
Low prevalence but high specificity of material-specific memory impairment in epilepsy associated with hippocampal sclerosis
Low rates of Neospora caninum infection reactivation during gestation are observed in both chronically and congenitally infected mice
Low MHD activity using resonant helical field and limiter biasing in IR-T1 tokamak
Low bone mineral density is not related to failure in femoral neck fracture patients treated with internal fixation
Low temperature synthesis and characterization of lanthanide-doped BaTiO3 nanocrystals
Low 25-hydroxyvitamin D levels in people with a solid tumor cancer diagnosis: the tip of the iceberg?
Low temperature Raman study of a liquid crystalline system 4-Decyloxy benzoic acid (4DBA)
Low quality of life and depressive symptoms are connected with an unhealthy lifestyle
Low Density Lipoproteins Promote Unstable Calcium Handling Accompanied by Reduced SERCA2 and Connexin-40 Expression in Cardiomyocytes
Low platelet iPLA(2) activity predicts conversion from mild cognitive impairment to Alzheimer's disease: a 4-year follow-up study
Low prostaglandin E-2 and cyclooxygenase expression in nasal mucosa fibroblasts of aspirin-intolerant asthmatics
Low impact synthesis of beta-nitroacrylates under fully heterogeneous conditions
Low Resolution Structural Studies Indicate that the Activator of Hsp90 ATPase 1 (Aha1) of Leishmania braziliensis Has an Elongated Shape Which Allows Its Interaction with Both N- and M-Domains of Hsp90
Low Condylectomy and Orthognathic Surgery to Treat Mandibular Condylar Osteochondroma: A Retrospective Review of 37 Cases
Low Bone Mineral Mass Is Associated With Decreased Bone Formation and Diet in Girls With Rett Syndrome
Low temperature growth of ultra-high mass density carbon nanotube forests on conductive supports
Low complexity adaptive algorithms for Principal and Minor Component Analysis
Low doses of 15d-PGJ2 induce osteoblast activity in a PPAR-gamma independent manner
Low radiation level detection with room temperature InAs detector
Low serum sodium level during cardiopulmonary bypass predicts increased risk of postoperative stroke after coronary artery bypass graft surgery
Low rank subspace clustering (LRSC)
Low complexity subcarrier and power allocation algorithm for uplink OFDMA systems
Low Complexity UWB Radios for Precise Wireless Sensor Network Synchronization
Low thermodynamic but high kinetic stability of an antifreeze protein from Rhagium mordax
Low pH-induced regulation of excitation energy between the two photosystems
Low energy electrons focused by the image charge interaction in carbon nanotubes
Low power wind energy conversion system based on variable speed permanent magnet synchronous generators
Low Cycle Fatigue Behavior and Seismic Assessment for Pipe Bend Having Local Wall Thinning-Influence of Internal Pressure
Low temperature synthesis of ultrafine non vermicular alpha-alumina from aerosol decomposition of aluminum nitrates salts
Low molecular weight heparin suppresses receptor for advanced glycation end products-mediated expression of malignant phenotype in human fibrosarcoma cells
Low temperature oxidation of n-hexane in a flow reactor
Low Tube Voltage Intermediate Tube Current Liver MDCT: Sinogram-Affirmed Iterative Reconstruction Algorithm for Detection of Hypervascular Hepatocellular Carcinoma
Low performance in attention testing is associated with reduced grey matter density of the left inferior frontal gyrus in euthyroid patients with Hashimoto%26apos;s thyroiditis
Low Temperature Gold-to-Gold Bonded Semiconductor Disk Laser
Low dose chromium-polynicotinate or policosanol is effective in hypercholesterolemic children only in combination with glucomannan
Low p53 positivity in verrucous skin lesion in diabetic neuropathy occurring on the dorsum of the foot
Low Levels of Low-Density Lipoprotein-C Associated With Proprotein Convertase Subtilisin Kexin 9 Inhibition Do Not Increase the Risk of Hemorrhagic Transformation
Low depinning fields in Ta-CoFeB-MgO ultrathin films with perpendicular magnetic anisotropy
Low Energy X-Ray and gamma-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer
Low temperature RAFT/MADIX gel polymerisation: access to controlled ultra-high molar mass polyacrylamides
Low doses of fludrocortisone and hydrocortisone, alone or in combination, on vascular responsiveness to phenylephrine in healthy volunteers
Low repetition rate, hybrid fiber/solid-state, 1064 nm picosecond master oscillator power amplifier laser system
Low temperature synthesis of porous tin oxide anode for high-performance lithium-ion battery
Low cost, eco-friendly layered Li-1.2(Mn0.32Ni0.32Fe0.16)O-2 nanoparticles for hybrid supercapacitor applications
Low temperature diffusion process using rare earth-Cu eutectic alloys for hot-deformed Nd-Fe-B bulk magnets
Low Energy D(p, p)D Elastic Scattering Including Coulomb Force
Low temperature J-resistance curve determination of asphalt concrete using wavelet-Radon transform
Low temperature deposition of TiO2 layers from nanoparticle containing suspensions synthesized by microwave hydrothermal treatment
Low birth weight at term and the presence of fine particulate matter and carbon monoxide in the Brazilian Amazon: a population-based retrospective cohort study
Low 25-hydroxyvitamin D level is independently associated with non-alcoholic fatty liver disease
Low strength of Earth%26apos;s uppermost mantle inferred from tri-axial deformation experiments on dry olivine crystals
Low vaccine efficacy of mumps component among MMR vaccine recipients in Chennai, India
Low rate of seropositivity (IgG) to mumps component in MMR vaccinees in Chennai, south India
Low temperature amorphous silicon carbide thin film formation process on aluminum surface using monomethylsilane gas and trichlorosilane gas
Low environmentally relevant levels of bioactive xenobiotics and associated degradation products cause cryptic perturbations of metabolism and molecular stress responses in Arabidopsis thaliana
Low Target Sufentanil Effect-Site Concentrations Allow Early Extubation After Valve Surgery
Low Concentration of Anti-Mullerian Hormone in Mares with Delayed Uterine Clearance
Low doses of arginine butyrate derivatives improve dystrophic phenotype and restore membrane integrity in DMD models
Low fertility of wild hybrid male flycatchers despite recent divergence
Low Cogging Torque Design of Permanent Magnet Machine Using Modified Multi-Level Set Method With Total Variation Regularization
Low Serum Ferroxidase I Activity Is Associated With Mortality in Heart Failure and Related to Both Peroxynitrite-Induced Cysteine Oxidation and Tyrosine Nitration of Ceruloplasmin
Low temperature growth of BaFCl microcrystals by a facile one-pot refluxing method and their superhydrophobic property
Low density of gap states and unpinned Fermi level in n-channel organic thin-film transistors
Low nocturnal ocular perfusion pressure as a risk factor for normal tension glaucoma
Low level laser therapy reduces acute lung inflammation in a model of pulmonary and extrapulmonary LPS-induced ARDS
Low temperature synthesis of semiconducting alpha-Al2O3 quantum dots
Low plasma fibrinogen levels and blood product transfusion in liver transplantation
Low Back Pain and Associated Imaging Findings among HIV-Infected Patients Referred to an HIV/Palliative Care Clinic
Low temperature hydrogen production by catalytic steam reforming of methane in an electric field
Low Band Gap Polymers Design Approach Based on a Mix of Aromatic and Quinoid Structures
Low Operable Temperature Chemiresistive Gas Sensing by Graphene-Zinc Oxide Quantum Dots Composites
Low Energy Intakes Are Associated With Adverse Outcomes in Infants After Open Heart Surgery
Low genetic diversity of the putatively introduced, brackish water hydrozoan, Blackfordia virginica (Leptothecata: Blackfordiidae), throughout the United States, with a new record for Lake Pontchartrain, Louisiana
Low temperature spark plasma sintering of Al2O3-TiC composites
Low profile slot antenna with dual band-notched function for UWB systems
Low Modulus Dry Silicone-Gel Materials by Photoinduced Thiol-Ene Chemistry
Low Colonocyte Folate Is Associated with Uracil Misincorporation and Global DNA Hypomethylation in Human Colorectum
Low Titers of Serum Antibodies Inhibiting Hemagglutination Predict Fatal Fulminant Influenza A(H1N1) 2009 Infection
Low levels of plasma carotenoids are associated with an increased risk of atrial fibrillation
Low and high temperature stress affect the growth characteristics of tomato in hydroponic culture with Se and nano-Se amendment
Low rate repetitive nerve stimulation in Lambert-Eaton myasthenic syndrome: Peculiar characteristics of decremental pattern from a single-centre experience
Low bit-rate multi stage vector quantization based on energy clustered training set
Low temperature synthesis of ordered mesoporous stable anatase nanocrystals: the phosphorus dendrimer approach
Low dose effects and non-monotonic dose responses for endocrine active chemicals: Science to practice workshop: Workshop summary
Low complexity bit and power allocation for MIMO-OFDM systems using space-frequency beamforming
Low IL10 serum levels as key factor for predicting the sustained virological response to IFN alpha/ribavirin in Brazilian patients with HCV carrying IL28B CT/TT genotype
Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers
Low Concentrations of Hydrogen Peroxide or Nitrite Induced of Paracoccidioides brasiliensis Cell Proliferation in a Ras-Dependent Manner
Low Temperature Hetero-Epitaxial Growth of 3C-SiC Films on Si Utilizing Microwave Plasma CVD
Low Overhead Intra-Symbol Carrier Phase Recovery for Reduced-Guard-Interval CO-OFDM
Low lying eigenvalues of randomly curved quantum waveguides
Low expression of the X-linked ribosomal protein S4 in human serous epithelial ovarian cancer is associated with a poor prognosis
Low Rate of Dislocation of Dual-mobility Cups in Primary Total Hip Arthroplasty
Low prevalence of Borrelia bavariensis in Ixodes ricinus ticks in southeastern Austria
Low haemoglobin levels increase unilateral spatial neglect in acute phase of stroke
Low virus to prokaryote ratios in the cold: benthic viruses and prokaryotes in a subpolar marine ecosystem (Hornsund, Svalbard)
Low plasma levels of antithrombin III in the early post-operative period following pediatric liver transplantation: Should they be replaced? A single-center pilot study
Low Rate of Macrolide Resistance in Mycoplasma pneumoniae Strains in Germany between 2009 and 2012
Low delta-V near-Earth asteroids: A survey of suitable targets for space missions
Low insulin-like growth factor-1 level predicts survival in humans with exceptional longevity
Low bone turnover and low bone density in a cohort of adults with Down syndrome
Low contrast volume run-off CT angiography with optimized scan time based on double-level test bolus technique - feasibility study
Low macular pigment optical density is associated with lower cognitive performance in a large, population-based sample of older adults
Low ionization lines in high luminosity quasars: The calcium triplet
Low grating acuity in slow readers with normal recognition acuity
Low photosynthetic activity is linked to changes in the organization of photosystem II in the fruit of Arum italicum
Low vitamin D concentrations among indigenous Argentinean children living at high altitudes
Low hippocampal PI(4,5)P-2 contributes to reduced cognition in old mice as a result of loss of MARCKS
Low Temperature Heat Capacity of a Severely Deformed Metallic Glass
Low maternal serum vitamin D during pregnancy and the risk for postpartum depression symptoms
Low levels of ribosomal RNA partly account for the very high photosynthetic phosphorus-use efficiency of Proteaceae species
Low ATM protein expression and depletion of p53 correlates with olaparib sensitivity in gastric cancer cell lines
Low Dose of the Anesthetic Propofol Does Not Induce Genotoxic or Mutagenic Effects in Nile Tilapia
Low Carbohydrate Diet Score does not Predict Metabolic Syndrome in Children and Adolescents: Tehran Lipid and Glucose Study
Low sulfur, phosphorus and metal free antiwear additives: Synergistic action of salicylaldehyde N(4)-phenylthiosemicarbazones and its different derivatives with Vanlube 289 additive
Low ankle-brachial index predicts new vascular events and functional outcome after 1 year in patients with non-cardioembolic stroke: our experience and review
Low Pt Loading and High Hydrogen Oxidation Reaction Performance at Pt/TiO2-SiO2 Investigated by a Porous Microelectrode
Low birth weight and adult depression: eliciting their association
Low vs Standard Pneumoperitoneum Pressure During Laparoscopic Hysterectomy: Prospective Randomized Trial
Low temperature plasma carburizing of AISI 316L austenitic stainless steel and AISI F51 duplex stainless steel
Low temperature X-ray molecular structure, tautomerism and spectral properties of 2,3-dihydroxyquinoxaline
Low cost architecture to integrate multiple PONs to a long reach spectrum sliced WDM network
Low iron storage and mild anemia in postural tachycardia syndrome in adolescents
Low Invasiveness of Pneumococcal Serotype 11A Is Linked to Ficolin-2 Recognition of O-acetylated Capsule Epitopes and Lectin Complement Pathway Activation
Low and high doses of UV-B differentially modulate chlorpyrifos-induced alterations in nitrogen metabolism of cyanobacteria
Low Pressure Plasma Study for Platinum Nanoparticles Synthesis
Low cellular P-quota and poor metabolic adaptations of the freshwater cyanobacterium Anabaena fertilissima Rao during Pi-limitation
Low Threshold Current Density Operation of a GaInAsP/Si Hybrid Laser Prepared by Low-Temperature N-2 Plasma Activated Bonding
Low BMI at age 20 years predicts gestational diabetes independent of BMI in early pregnancy in Japan: Tanaka Women%26apos;s Clinic Study
Low volume fraction nano-titanium particulates for improving the mechanical response of pure magnesium
Low Arabian dust extinction-to-backscatter ratio
Low Profile Dual Band-Notched Slot Antenna with Modified Ground Plane for UWB Systems
Low temperature Stirling engines pressurised with real gas effects
Low Incidence of ADAMTS13 Missense Mutation R1060W in Adult Egyptian Patients with Thrombotic Thrombocytopenic Purpura
Low Hepatocyte Repopulation From Stem Cells: A Matter of Hepatobiliary Linkage Not Massive Production
Low Cost Porous Alumina with Tailored Microstructure and Thermal Conductivity Prepared using Rice Husk and Sucrose
Low gene flow between Iranian Grey Wolves (Canis lupus) and dogs documented using uniparental genetic markers
Low Statistics Reconstruction of the Compton Camera Point Spread Function in 3D Prompt-gamma Imaging of Ion Beam Therapy
Low rate of infusional toxicity after expanded cord blood transplantation
Low occurrence of Pseudomonas aeruginosa in agricultural soils with and without organic amendment
Low Cost Membrane-Less Hydrogen Fuel Cell with Ultra-Low PGM Content
Low serum creatine kinase activity is associated with worse outcome in critically ill patients
Low dietary calcium and obesity: a comparative study in genetically obese and normal rats during early growth
Low prevalence of anti-small ubiquitin-like modifier activating enzyme antibodies in dermatomyositis patients
Low diversity of Leishmania parasites in sandflies and the absence of the great gerbil in foci of zoonotic cutaneous leishmaniasis in Fars province, southern Iran
Low temperature characterization of bituminous binders with a new cyclic shear cooling (CSC) failure test
Low Early and Late Dislocation Rates with 36- and 40-mm Heads in Patients at High Risk for Dislocation
Low Temperature Production of Formaldehyde from Carbon Dioxide and Ethane by Plasma-Assisted Catalysis in a Ferroelectrically Moderated Dielectric Barrier Discharge Reactor
Low flux submerged membrane bioreactor treating high strength leachate from a solid waste transfer station
Low temperature CO oxidation over nano-sized Cu-Pd doped MnO2 catalysts
Low dose of arsenic trioxide triggers oxidative stress in zebrafish brain: Expression of antioxidant genes
Low concentration of mercury induces autophagic cell death in rat hepatocytes
Low Serum Interleukin-13 Levels Correlate with Poorer Prognoses for Colorectal Cancer Patients
Low temperature hydrothermal oil and associated biological precursors in serpentinites from Mid-Ocean Ridge (vol 178, pg 84, 2013)
Low cost FPGA based data acquisition system for a gamma imaging probe
Low Temperature Plasticity of Ultrafine-Grained AE42 and AZ31 Magnesium Alloys
Low mass pseudoscalar dark matter in an extended B - L model
Low back related leg pain: An investigation of construct validity of a new classification system
Low pressure methane solubility in lithium-ion batteries based solvents and electrolytes as a function of temperature. Measurement and prediction
Low Glycemic Index Treatment in pediatric refractory epilepsy: The first Middle East report
Low energy 4f-5d transitions in lanthanide doped CaLaSiN3 with low degree of cross-linking between SiN4 tetrahedra
Low dose of dichloroacetate infusion reduces blood lactate after submaximal exercise in horses
Low abundance of long-tongued pollinators leads to pollen limitation in four specialized hawkmoth-pollinated plants in the Atlantic Rain forest, Brazil
Low midlife blood pressure, survival, comorbidity, and health-related quality of life in old age: the Helsinki Businessmen Study
Low water quality in tropical fishponds in southeastern Brazil
Low Morning Serum Cortisol Levels in Children with Tonsillar Hypertrophy and Moderate-to-Severe OSA
Low complexity bit-parallel polynomial basis multipliers over binary fields for special irreducible pentanomials
Low temperature and rapid deposition of ZnO nanorods on Si(100) substrate with tunable optical emissions
Low temperature and large area deposition of nanocrystalline diamond films with distributed antenna array microwave-plasma reactor
Low Concentration Structural Dynamics of Lanreotide and Somatostatin-14
Low Scaling Algorithms for the Random Phase Approximation: Imaginary Time and Laplace Transformations
Low back pain research - Future directions
Low Energy Shock Wave Therapy Induces Angiogenesis in Acute Hind-Limb Ischemia via VEGF Receptor 2 Phosphorylation
Low Carrier-Fundamental Frequency Ratio PWM for Multilevel Active Shunt Power Filters for Aerospace Applications
Low serum enterolactone concentration is associated with low colonic Lactobacillus-Enterococcus counts in men but is not affected by a synbiotic mixture in a randomised, placebo-controlled, double-blind, cross-over intervention study
Low Power Magnetic Full-Adder Based on Spin Transfer Torque MRAM
Low nitric oxide bioavailability is associated with better responses to sildenafil in patients with erectile dysfunction
Low Temperature Magnetization Studies of Nanocrystalline Zn-Ferrite Thin Films
Low and high-frequency TENS in post-episiotomy pain relief: a randomized, double-blind clinical trial
Low Serum Concentrations of Vitamin B6 and Iron Are Related to Panic Attack and Hyperventilation Attack
Low adiponectin in overweight/obese women: association with diabetes during pregnancy
Low mercury contamination in Mediterranean gull Larus melanocephalus chicks in Greece
Low temperatures and fermentative metabolism limit peptidoglycan digestion of Bacillus cereus. Impact on colony forming unit counts
Low frequency of GITR(+) T cells in ex vivo and in vitro expanded T-reg cells from type 1 diabetic patients
Low cost synthesis of LiFePO4/C cathode materials with Fe2O3
Low Catalyst Loading in the Cross Metathesis of Olefins with Methyl Vinyl Ketone
Low Power and Self-Reconfigurable WBAN Controller for Continuous Bio-Signal Monitoring System
Low Incidence of alpha-1 Antitrypsin Deficiency among Babies with Prolonged Jaundice
Low endogenous thrombin potential in trained subjects
Low Prevalence of Interleukin-6 Haplotypes Associated with a Decreased Risk of Type 2 Diabetes in Mexican Subjects with a Family History of Type 2 Diabetes
Low Turn-On Voltage AlGaN/GaN-on-Si Rectifier With Gated Ohmic Anode
Low CSF Levels of Both alpha-Synuclein and the alpha-Synuclein Cleaving Enzyme Neurosin in Patients with Synucleinopathy
Low temperature deposition of ZnO semiconductor thin films on a PEN substrate by a solution process
Low back pain characterized by muscle resistance and occupational factors associated with nursing
Low complexity lossless compression of underwater sound recordings
Low Power Memory Built in Self Test Address Generator Using Clock Controlled Linear Feedback Shift Registers
Low loss and temperature stable microwave dielectrics using Li-2(Mg(1-x)A(x))Ti3O8 (A(2+) = Zn, Co; x=0.02-0.1) ceramics
Low temperature magnetic studies on PbFe0.5Nb0.5O3 multiferroic
Low testosterone elevates interleukin family cytokines in a rodent model: a possible mechanism for the potentiation of vascular disease in androgen-deficient males
Low voltage EELS-How low?
Low optical-loss facet preparation for silica-on-silicon photonics using the ductile dicing regime
Low susceptibility of Spodoptera cosmioides, Spodoptera eridania and Spodoptera frugiperda (Lepidoptera: Noctuidae) to genetically-modified soybean expressing Cry1Ac protein
Low light acclimation in five temperate broad-leaved tree species of different successional status: the significance of a shade canopy
Low temperature fabrication of conductive silver lines and dots via transfer-printing and nanoimprinting lithography techniques
Low Risk Patients Benefit from Extreme Anterior Apical Sampling on Initial Biopsy for Prostate Cancer Diagnosis
Low Cost Microcontroller Based Implementation of Robust Voltage Based Capacitor Charge Balance Control Algorithm
Low molecular weight hyaluronan mediated CD44 dependent induction of IL-6 and chemokines in human dermal fibroblasts potentiates innate immune response
Low Temperature Reveals Genetic Variability Against Male-Killing Spiroplasma in Drosophila melanogaster Natural Populations
Low Temperature Synthesis of Carbonate-Free Barium Titanate Nanoscale Crystals: Toward a Generalized Strategy of Titanate-Based Perovskite Nanocrystals Synthesis
Low cost single-step purification of endoglucanase from Aspergillus fumigatus ABK-9
Low frequency alternating current conduction and dielectric relaxation in polypyrrole irradiated with 100 MeV swift heavy ions of silver (Ag8+)
Low temperature plasma assisted atomic layer deposition in nitrogen carrier gas studied by optical emission spectroscopy
Low melting mixtures based on beta-cyclodextrin derivatives and N,N %26apos;-dimethylurea as solvents for sustainable catalytic processes
Low Dose of Amino-Modified Nanoparticles Induces Cell Cycle Arrest
Low Birth Weight Is Associated with High Waist-to-Height Ratio in Japanese Elementary School Girls
Low Vancomycin MICs and Fecal Densities Reduce the Sensitivity of Screening Methods for Vancomycin Resistance in Enterococci
Low voltage ride-through of DFIG and brushless DFIG: Similarities and differences
Low complexity reconfiguration for real-time data-intensive service-oriented applications
Low Incidence of Arrhythmias in the Right Ventricular Infundibulum Sparing Approach to Tetralogy of Fallot Repair
Low phase angle determined by bioelectrical impedance analysis is associated with malnutrition and nutritional risk at hospital admission
Low birth weight, preterm birth or small-for-gestational-age are not associated with dental caries in young Japanese children
Low Coordinate Germanium(II) and Tin(II) Hydride Complexes: Efficient Catalysts for the Hydroboration of Carbonyl Compounds
Low incidence of SCN1A genetic mutation in patients with hemiconvulsion-hemiplegia-epilepsy syndrome
Low Voltage Pulse Application to Biological Cells
Low temperature and broadband dielectric properties of V2O5 doped Mg-2 TiO4 ceramics
Low miR-145 and high miR-367 are associated with unfavourable prognosis in resected nonsmall cell lung cancer
Low molecular weight compounds as effective dispersing agents in the formation of colloidal silver nanoparticles
Low temperature synthesis of Li0.5ZrxCoxFe2.5-2xO4 powder and their characterizations
Low energy electron generator design and depth dose prediction for micro-superficies tumors treatment purposes
Low Helicobacter pylori primary resistance to clarithromycin in gastric biopsy specimens from dyspeptic patients of a city in the interior of Sao Paulo, Brazil
Low impact of phenanthrene dissipation on the bacterial community in grassland soil
Low density miniaturized homogeneous liquid-liquid extraction: a new high throughput sample preparation technique for the determination of polar pesticides in cow milk
Low Pressure DC Glow Discharge Air Plasma Surface Treatment of Polyethylene (PE) Film for Improvement of Adhesive Properties
Low Protein Intake Magnifies Detrimental Effects of Ovariectomy and Vitamin D on Bone
Low serum testosterone levels are predictive of prostate cancer
Low Temperature Detectors for Neutrino Physics
Low energy two-dimensional plasmon in epitaxial graphene on Ni (111)
Low Glucose Degradation Product Peritoneal Dialysis Regimen Is Associated With Lower Plasma EN-RAGE and HMGB-1 Proinflammatory Ligands of Receptor for Advanced Glycation End Products
Low pH Electrolytic Water Splitting Using Earth-Abundant Metastable Catalysts That Self-Assemble in Situ
Low temperature reduction route to synthesise bismuth telluride (Bi2Te3) nanoparticles: structural and optical studies
Low Levels of High-Density Lipoprotein Cholesterol Predict the Presence of Coronary Artery Disease in Patients With Aortic Aneurysms
Low optical loss nano-structured planar waveguides by sol-gel route for photonic crystal applications
Low temperature insertion of energy levels into the ZnO nanorod%26apos;s bandgap by nanotube conversion
Low temperature cofirable Li2Zn3Ti4O12 microwave dielectric ceramic with Li2O-ZnO-B2O3 glass additive
Low Temperature Irreversible Poly(DiMethyl) Siloxane Packaging of Silanized SU8 Microchannels: Characterization and Lab-on-Chip Application
Low cost method for hot embossing of microstructures on PMMA by SU-8 masters
Low Dose Domoic Acid Influences Spontaneous Behavior in Adult Rats
Low spin-wave damping in amorphous Co40Fe40B20 thin films
Low dose of bisphenol A impairs the reproductive axis of prepuberal male rats
Low cost CaCl2 pretreatment of sugarcane bagasse for enhancement of textile dyes adsorption and subsequent biodegradation of adsorbed dyes under solid state fermentation
Low expression of glucocorticoid receptors in children with steroid-resistant nephrotic syndrome
Low CO2 does not remove diffusional limitation to photosynthesis in salt stressed tomato during osmotic phase
Low Damage Cryogenic Etching of Porous Organosilicate Low-k Materials Using SF6/O-2/SiF4
Low Reynolds number suspension gravity currents
Low temperature hydrothermal oil and associated biological precursors in serpentinites from Mid-Ocean Ridge
Low birth weight, preterm birth and small for gestational age association with adult depression: systematic review, and meta-analysis
Low dimensional magnetic assemblies based on Mn-III(Schiff base) and/or Mer-tricyanidoferrate building blocks: Syntheses, crystal structures and magnetic properties
Low metal content Co and Ni alumina supported catalysts for the CO2 reforming of methane
Low crossover of methanol and water through thin membranes in direct methanol fuel cells
Low Plasma Concentrations of Albumin Influence the Affinity Column-Mediated Immunoassay Method for the Measurement of Tacrolimus in Blood During the Early Period After Liver Transplantation
Low Birth Weight in Perinatally HIV-Exposed Uninfected Infants: Observations in Urban Settings in Cameroon
Low grade cirrhosis induces cognitive impairment and motor dysfunction in rats: Could be a model for minimal hepatic encephalopathy
Low velocity impact of carbon fiber aluminum laminates
Low temperature fabrication and characterization of nickel germanide Schottky source/drain contacts for implant-less germanium p-channel metal-oxide-semiconductor field-effect transistors
Low glucose transporter SLC2A5-inhibited human normal adjacent lung adenocarcinoma cytoplasmic pro-B cell development mechanism network
Low variation but strong population structure in mitochondrial control region of the plains topminnow, Fundulus sciadicus
Low power wide gates for modern power efficient processors
Low temperature induces embryonic diapause in the spider mite, Eotetranychus smithi
Low glycemic index vegan or low-calorie weight loss diets for women with polycystic ovary syndrome: a randomized controlled feasibility study
Low Usutu virus seroprevalence in four zoological gardens in central Europe
Low Risk of Hepatocellular Carcinoma in Patients With Primary Sclerosing Cholangitis With Cirrhosis
Low copy number detection of human bocavirus DNA in bronchoalveolar lavage of asymptomatic adult patients
Low inter- and intraobserver variability allows for reliable tunnel measurement in ACL reconstruction using the quadrant method
Low temperature synthesis of pure cubic ZrO2 nanopowder: Structural and luminescence studies
Low intensity resistance training improves systolic function and cardiovascular autonomic control in diabetic rats
Low temperature synthesis, characterization and tunable optical properties of Eu3+, Tb3+ doped CaMoO4 nanoparticles
Low and High CD8 Positive T cells in Multiple Sclerosis Patients
Low velocity transverse impact response of functionally graded plates with temperature dependent properties
Low cycle fatigue performance of ball grid array structure Cu/Sn-3.0Ag-0.5Cu/Cu solder joints
Low Temperature Rate Coefficients for the Reaction CN + HC3N
Low energy-demanding recovery of antioxidants and sugars from olive stones as preliminary steps in the biorefinery context
Low concentration of HgCl2 drives rat hepatocytes to autophagy/apoptosis/necroptosis in a time-dependent manner
Low Loss Magnetic Thin Films for Off-Line Power Conversion
Low Self-Awareness of Individuals With Severe Traumatic Brain Injury Can Lead to Reduced Ability to Take Another Person's Perspective
Low Complexity Partial Transmit Sequence with Complex Gain Memory Predistortion in OFDM Systems
Low validity of the Sensewear Pro3 activity monitor compared to indirect calorimetry during simulated free living in patients with osteoarthritis of the hip
Low mass enhanced probability of pion in hadronic matter due to its Landau cut contributions
Low leakage p-NiO/i-ZnO/n-ITO heterostructure ultraviolet sensor
Low Serum Thyrotropin Level and Duration of Suppression as a Predictor of Major Osteoporotic Fractures-The OPENTHYRO Register Cohort
Low cost referenced luminescent imaging of oxygen and pH with a 2-CCD colour near infrared camera
Low temperature synthesis of nanosized NaY zeolite crystals from organic-free gel by using supported seeds
Low preoperative lymphocyte-monocyte ratio (LMR) represents a potentially poor prognostic factor in nonmetastatic clear cell renal cell carcinoma
Low temperature method for synthesis of ZnS quantum dots and its luminescence characterization studies
Low temperature synthesis of carbon encapsulated Fe7S8 nanocrystals as high performance anode for lithium-ion batteries
Low Dark-Current Lateral Amorphous-Selenium Metal-Semiconductor-Metal Photodetector
Low voltage electrically stimulated lab-on-a-chip device followed by red-green-blue analysis: a simple and efficient design for complicated matrices
Low serum docosahexaenoic acid is associated with progression of coronary atherosclerosis in statin-treated patients with diabetes mellitus: results of the treatment with statin on atheroma regression evaluated by intravascular ultrasound with virtual histology (TRUTH) study
Low doses of ionizing radiation induce immune-stimulatory responses in isolated human primary monocytes
Low power RF/analog front-end solution for UHF RFID
Low molecular-weight chitosans are stronger biomembrane model perturbants
Low pressure plasma modified polycarbonate: A transparent, low reflective and scratch resistant material for automotive applications
Low computational burden grid voltage sensorless current controller
Low genetic diversity in the Endangered Crested Ibis Nipponia nippon and implications for conservation
Low velocity impact localization system of CFRP using fiber Bragg grating sensors
Low energy topology generation approach for application-specific network on chip
Low Pressure Ethenolysis of Renewable Methyl Oleate in a Microchemical System
Low power and high speed explicit-pulsed double-edge triggered level converting flip-flop
Low incidence of IL6ST(gp130) mutations in exon 6 in lung cancer of a Chinese cohort
Low cost and large-area fabrication of self-cleaning coating on polymeric surface based on electroless-plating-like solution deposition approach
Low temperature synthesis and photoluminescence of cubic silicon carbide
Low cost and reliable anonymity protocols in P2P reputation systems
Low thermal-mass LEDs: size effect and limits
Low concentrations mono-butyl phthalate stimulates steroidogenesis by facilitating steroidogenic acute regulatory protein expression in mouse Leydig tumor cells (MLTC-1)
Low cycle fatigue fracture mechanism of a TWIP steel
Low cost calibration methods for hybrid inertial-vision-magnetic sensors
Low Levels of LTR Retrotransposon Deletion by Ectopic Recombination in the Gigantic Genomes of Salamanders
Low Birth Weight Is Associated With Higher Blood Pressure Variability From Childhood to Young Adulthood The Bogalusa Heart Study
Low energy supersymmetry from R-symmetries
Low complex energy-efficient resource allocation in femtocell networks
Low Ankle-Brachial Index Is Associated with Early-Stage Chronic Kidney Disease in Type 2 Diabetic Patients Independent of Albuminuria
Low loss Nb2O5 films deposited by novel remote plasma sputtering
Low pressure multiwire proportional chamber and its application to radioactive ion beam experiment
Low manipulation prevalence following fast-track total knee arthroplasty
Low power register files by eliminating redundant read
Low power address bus encoding using loop prediction
Low haze transparent electrodes and highly conducting air dried films with ultra-long silver nanowires synthesized by one-step polyol method
Low energy indoor network: deployment optimisation
Low Carbon Concrete Prepared with Scattering-Filling Coarse Aggregate Process
Low noise frequency synthesizer with self-calibrated voltage controlled oscillator and accurate AFC algorithm
Low dose CT perfusion in acute ischemic stroke
Low power hardware implementation of multi-channel wide dynamic range compression for digital hearing aids
Low junctional adhesion molecule A expression correlates with poor prognosis in gastric cancer
Low overhead geometric on-demand routing protocol for mobile ad hoc networks
Low cost and high performance Al nanoparticles for broadband light trapping in Si wafer solar cells
Low Specific On-resistance SOI LDMOS Device with P+P-top Layer in the Drift Region
Low frequency oscillation analysis and oscillation source location based on oscillation energy. Part one: Mathematical foundation and energy flow computation
Low power overvoltage comparator
Low bit rate overhead based reference modification for error resilient video coding
Low frequency oscillation analysis and oscillation source location based on oscillation energy. Part two: Method for oscillation source location and case studies
Low temperature plasma surface alloying and characterisation of a superduplex stainless steel
Low sidelobe transmit antenna design for MIMO radar
Low power fully-digital capacitive sensor interface circuit design
Low power hardware implementation of the fast and efficient lossless image compression system
Low Dimensional Silver Nanostructures: Synthesis, Growth Mechanism, Properties and Applications
Low light level polarization imaging system design and experiment
Low pore connectivity in natural rock
Low Expression of lncRNA-GAS5 Is Implicated in Human Primary Varicose Great Saphenous Veins
Low Friction-Coefficient TiBCN Nanocomposite Coatings Prepared by Cathode Arc Plasma Deposition
Low Order Continuum-Based Liquid Sloshing Formulation for Vehicle System Dynamics
Low voltage tunneling magnetoresistance in CuCrO2-based semiconductor heterojunctions at room temperature
Low complexity acquisition algorithm for GPS signals with low SNR and high dynamic
Low confinement loss hybrid-guiding tellurite photonic bandgap fiber
Low threshold optical bistability at terahertz frequencies with graphene surface plasmons
Low cycle fatigue behavior of a 3D braided KD-I fiber reinforced ceramic matrix composite for coated and uncoated specimens at 1100 degrees C and 1300 degrees C
Low temperature firing and microwave dielectric properties of BaCaV2O7 ceramics
Low spurious noise frequency synthesis based on a DDS-driven wideband PLL architecture
Low molecular weight heparin improves proteinuria in rats with L-NAME induced preeclampsia by decreasing the expression of nephrin, but not podocin
Low Gate Voltage Operated Multi-emitter-dot H+ Ion-Sensitive Gated Lateral Bipolar Junction Transistor
Low defect concentration few-layer graphene using a two-step electrochemical exfoliation
Low frequency noise elimination technique for 24-bit Sigma-Delta data acquisition systems
Low Complexity FFT-Based Frequency Offset Estimation for M-QAM Coherent Optical Systems
Low cost and environmentally benign crack-blocking structures for long life and high power Si electrodes in lithium ion batteries
Low cost and highly reliable radiation hardened latch design in 65 nm CMOS technology
Low thermal conductivity of graphyne nanotubes from molecular dynamics study
Low back pain associated with lumbar disc herniation: role of moderately degenerative disc and annulus fibrous tears
Low expression of RSK4 predicts poor prognosis in patients with colorectal cancer
Low power loss and field-insensitive permeability of Fe-6.5%Si powder cores with manganese oxide-coated particles
Low loss Sendust powder cores comprised of particles coated by sodium salt insulating layer
Low temperature electrochemical performance of beta-LixV2O5 cathode for lithium-ion batteries
Low Temperature Firing of Li0.43Zn0.27Ti0.13Fe2.17O4 Ferrites with Enhanced Magnetic Properties
Low loss Co(2)Z hexaferrite with matched permeability and permittivity in HF and VHF bands
Low temperature aging mechanism identification and lithium deposition in a large format lithium iron phosphate battery for different charge profiles
Low Pt content catalyst supported on nitrogen and phosphorus-codoped carbon nanotubes for electrocatalytic O-2 reaction in acidic medium
Low molecular weight PEI-based polycationic gene vectors via Michael addition polymerization with improved serum-tolerance
Low Frequency Beating Instability in a Rijke Burner and its Active Control
Low Dose of IGF-I Increases Cell Size of Skeletal Muscle Satellite Cells Via Akt/S6K Signaling Pathway
Low Pressure CO2 Hydrogenation to Methanol over Gold Nanoparticles Activated on a CeOx/TiO2 Interface
Low Phase Noise Concurrent Dual-Band Oscillator Using Compact Diplexer
Low temperature characteristic of ITO/SiOx/c-Si heterojunction solar cell
Low Temperature Photosensitive Polyimide Based Insulating Layer Formation for Microelectromechanical Systems Applications
Low phase noise oscillator based on quarter mode substrate integrated waveguide technique
Low temperature self-assembled synthesis of hexagonal plate-shape Mn3O4 3D hierarchical architectures and their application in electrochemical capacitors
Low temperature cross-linked, high performance polymer gate dielectrics for solution-processed organic field-effect transistors
Low loss sharp photonic crystal waveguide bends
Low Temperature-Induced 30 (LTI30) positively regulates drought stress resistance in Arabidopsis: effect on abscisic acid sensitivity and hydrogen peroxide accumulation
Low cost visible light driven plasmonic Ag-AgBr/BiVO4 system: fabrication and application as an efficient photocatalyst
Low threshold simultaneous multi-wavelength amplified spontaneous emission modulated by the lithium fluoride/Ag layers
Low power design of a multi-core processor chip
Low threshold fiber taper coupled rare earth ion-doped chalcogenide microsphere laser
Low Interface Trap Densities and Enhanced Performance of AlGaN/GaNMOS High-Electron Mobility Transistors Using Thermal Oxidized Y2O3 Interlayer
Low current electrical stimulation upregulates cytokine expression in the anal sphincter
Low temperature sintering of barium zirconate titanate (BZT) ceramics and their dielectricity
Low voltage blue-phase liquid crystal display with triple-penetrating fringe fields
Low and wide phase transition temperature dimesogenic compounds consisting of a cholesteryl and 4-(trans-4-n-alkylcyclohexyl)phenoxy mesogens
Low Temperature Performance of Selective Catalytic Reduction of NO with NH3 under a Concentrated CO2 Atmosphere
Low Power Deterministic built-in self-test based on folding counter
Low Temperature Molten Salt Synthesis of Perovskite-type ACeO(3)(A=Sr, Ba) in Eutectic NaCl-KCl
Low expression of DLC1 is predictive of poor therapeutic efficiency of fluoropyrimidine and oxaliplatin as adjuvant chemotherapy in gastric cancer
Low diversity of the major histocompatibility complex class II DRA gene in domestic goats (Capra hircus) in Southern China
Low Work-function Poly(3,4-ethylenedioxylenethiophene): Poly(styrene sulfonate) as Electron-transport Layer for High-efficient and Stable Polymer Solar Cells
Low Mach number limit of full Navier-Stokes equations in a 3D bounded domain
Low Loss, High NA Chalcogenide Glass Fibers for Broadband Mid-Infrared Supercontinuum Generation
Low Discrepancy Between Tissue Biopsy Plus Magnifying Endoscopy With Narrow-Band Imaging and Endoscopic Resection in the Diagnosis of Gastric Epithelial Neoplasia (STROBE)
Low Complexity Cyclic Feature Recovery Based on Compressed Sampling
Low Thermal Conductivity of Paperclip-Shaped Graphene Superlattice Nanoribbons
Low versus high radioiodine activity to ablate the thyroid after thyroidectomy for cancer: a meta-analysis of randomized controlled trials
Low band-gap weak donor-strong acceptor conjugated polymer for organic solar cell
Low expression of lncRNA-HMlincRNA717 in human gastric cancer and its clinical significances
Low temperature pseudomorphic synthesis of nanocrystalline carbide aerogels for electrocatalysis
Low energy excitations in graphite: The role of dimensionality and lattice defects
Low CD1c+myeloid dendritic cell counts correlated with a high risk of rapid disease progression during early HIV-1 infection
Low serum adiponectin levels are associated with reduced insulin sensitivity and lipid disturbances in short children born small for gestational age
Low temperature synthesis of rutile TiO2 single crystal nanorods with exposed (002) facets and their decoration with gold nanoparticles for photocatalytic applications
Low temperature processing of flexible planar perovskite solar cells with efficiency over 10%
Low molecular weight polyethylenimine as a transgenic vector for tumor gene therapy
Low current writing perpendicular magnetic random access memory with high thermal stability
Low Complexity Multiuser Detection Algorithm for Multi-Beam Satellite Systems
Low temperature solid-state synthesis routine and mechanism for Li(3)V(2)(PO(4))(3) using LiF as lithium precursor
Low Partial Pressure Chemical Vapor Deposition of Graphene on Copper
Low temperature solid-state extrusion of recycled poly(ethylene terephthalate) bottle scraps
Low complexity codebook-based beam switching for 60 GHz anti-blockage communication
Low Temperature Sintering and Dielectric Properties of BaTiO3 Ceramics Incorporating Nano-Sized Powders
Low frequency heating of gold nanoparticle dispersions for non-invasive thermal therapies
Low temperature photoresponse of monolayer tungsten disulphide
Low contact resistance in solid electrolyte-gated ZnO field-effect transistors with ferromagnetic contacts
Low Temperature and Long Residence Time AFEX Pretreatment of Corn Stover
Low temperature treatments of rice (Oryza sativa L.) anthers changes polysaccharide and protein composition of the anther walls and increases pollen fertility and callus induction
Low voltage ride through strategy for wind turbine systems using dynamic voltage restorers
Low cost carbon fibers from bio-renewable Lignin/Poly(lactic acid) (PLA) blends
Low Velocity Impact Localization System Using FBG Array and MVDR Beamforming Algorithm
Low voltage operation and control of permanent magnet direct-drive wind generator with super capacitor energy storage
Low energy phonon instabilities and magnetic abnormalities in ordered crystalline state alloys of Fe3Pt at high pressure
Low Caffeine Content in Novel Grafted Tea with Camellia sinensis as Scions and Camellia oleifera as Stocks
Low kinetic-energy loss oscillating-triangular-jet nozzles
Low order continuous-time filters for approximation of the ISO 2631-1 human vibration sensitivity weightings
Low temperature molten salt preparation of molybdenum nanoparticles
Low frequency dusty plasma modes in a uniform magnetic field
Low voltage and high resolution phase modulator based on blue phase liquid crystals with external compact optical system
Low false alarm rate human body recognition using pyroelectric infrared signal and wavelet entropy
Low Thermal Budget Monolithic Integration of Evanescent-Coupled Ge-on-SOI Photodetector on Si CMOS Platform
Low complexity selected mapping technique for PAPR reduction without side information
Low voltage polymer-stabilized blue phase liquid crystal reflective display by doping ferroelectric nanoparticles
Low crosstalk polymeric switch with asymmetric mach-zehnder interference structure
Low Loss Magneto-Dielectric Composite Ceramics Ba3Co2Fe24O41/SrTiO3 for High-Frequency Applications
Low Noise Optical Density Measurements for Accurate Bacteria Detection in Microfluidic Chip
Low glutathione regulates gene expression and the redox potentials of the nucleus and cytosol in Arabidopsis thaliana
Low Packing Density Self-Assembled Superstructure of Octahedral Pt3Ni Nanocrystals
Low cost polymer sampled waveguide Bragg grating fabricated by using contact lithography
Low temperature oxidative desulfurization with hierarchically mesoporous titaniumsilicate Ti-SBA-2 single crystals
Low Goiter Rate Associated with Small Average Thyroid Volume in Schoolchildren after the Elimination of Iodine Deficiency Disorders
Low molecular weight heparin mediating targeting of lymph node metastasis based on nanoliposome and enzyme-substrate interaction
Low threading dislocation density in GaN films grown on patterned sapphire substrates
Low Emissions and Delay Optimization for an Isolated Signalized Intersection Based on Vehicular Trajectories
Low Frequency Steady-State Brain Responses Modulate Large Scale Functional Networks in a Frequency-Specific Means
Low Operating Bias and Matched Input-Output Characteristics in Graphene Logic Inverters
Low specific contact resistance on epitaxial p-type 4H-SiC with a step-bunching surface
Low speed control of ultrasonic motor using change-speed integral strategy and multi-variables
Low temperature fabrication & photocatalytical activity of carbon fiber-supported TiO2 with different phase compositions
Low expression of long noncoding RNA PANDAR predicts a poor prognosis of non-small cell lung cancer and affects cell apoptosis by regulating Bcl-2
Low refractive index gas sensing using a surface plasmon resonance fibre device
Low toxic and highly luminescent CdSe/CdxZn1-xS quantum dots with thin organic SiO2 coating for application in cell imaging
Low attendance at structured education for people with newly diagnosed type 2 diabetes: General practice characteristics and individual patient factors predict uptake
Low intensity magnetic field influences short-term memory: A study in a group of healthy students
Low Muscularity and Myosteatosis Is Related to the Host Systemic Inflammatory Response in Patients Undergoing Surgery for Colorectal Cancer
Low Socioeconomic Status, Adverse Gene Expression Profiles, and Clinical Outcomes in Hematopoietic Stem Cell Transplant Recipients
Low Self-Confidence and Diabetes Mismanagement in Youth with Type 1 Diabetes Mediate the Relationship between Behavioral Problems and Elevated HbA1c
Low operating voltage ambipolar graphene oxide-floating-gate memory devices based on quantum dots
Low cost flexible 3-D aligned and cross-linked efficient ZnFe2O4 nano-flakes electrode on stainless steel mesh for asymmetric supercapacitors
Low cycle fatigue response of bolted T-stub connections to HSS columns - Experimental study
Low alanine aminotransferase levels and higher number of cardiovascular events in people with Type 2 diabetes: analysis of the Fenofibrate Intervention and Event Lowering in Diabetes (FIELD) study
Low Firing Temperatures and High Ferroelectric Properties of (Ba0.85Ca0.15)(Ti0.90Zr0.10)O-3 Lead-Free Ceramics Synthesized by the Combustion Technique
Low lipase levels as an independent marker of pancreatic cancer: a frequently neglected condition in clinical setting
Low esophageal mucosal blood flow in patients with nutcracker esophagus
Low levels of aluminum can lead to behavioral and morphological changes associated with Alzheimer's disease and age-related neurodegeneration
Low doses of nanodiamonds and silica nanoparticles have beneficial hormetic effects in normal human skin fibroblasts in culture
Low mean temperature rather than few sunshine hours are associated with an increased incidence of type 1 diabetes in children
Low Vitamin D Levels and Genetic Polymorphism in the Vitamin D Receptor are Associated with Increased Risk of Statin-Induced Myopathy
Low temperature mechanism of adsorption of methane: Comparison between homogenous and heterogeneous pores
Low temperature TiO2 based gas sensors for CO2
Low temperature pyrolysates distribution and kinetics of Zhaotong lignite
Low Vitamin-D Levels Combined with PKP3-SIGIRR-TMEM16J Host Variants Is Associated with Tuberculosis and Death in HIV-Infected and -Exposed Infants
Low platelet activity predicts 30 days mortality in patients undergoing heart surgery
Low genetic differentiation between Greenlandic and Siberian Sanderling populations implies a different phylogeographic history than found in Red Knots
Low regularity solutions to the Chern-Simons-Dirac and the Chern-Simons-Higgs equations in the Lorenz gauge
Low loss and broadband transition between substrate integrated waveguide and rectangular waveguide
Low temperature sintering and ferromagnetic properties of Li0.43Zn0.27Ti0.13Fe2.17O4 ferrites doped with BaO-ZnO-B2O3-SiO2 glass
Low energy impact damage detection in CFRP using eddy current pulsed thermography
Low temperature co-fired Co(2)Z barium strontium ferrite materials with BBSC glass
Low expression of B-cell-associated protein 31 in human primary hepatocellular carcinoma correlates with poor prognosis
Low f-number photoacoustic lens for tight ultrasonic focusing and free-field micro-cavitation in water
Low Cost Ubiquitous Context-Aware Wireless Communications Laboratory for Undergraduate Students
Low Dose Acetaminophen Induces Reversible Mitochondrial Dysfunction Associated with Transient c-Jun N-Terminal Kinase Activation in Mouse Liver
Low water contents in diamond mineral inclusions: Proto-genetic origin in a dry cratonic lithosphere
Low temperature growth of cobalt on Cr2O3(0001)
Low internal pressure in femtoliter water capillary bridges reduces evaporation rates
Low voltage and ambient temperature electrodeposition of uniform carbon films
Low temperature CO oxidation over unsupported nanoporous gold catalysts with "active" or "inert" oxide residues (vol 332, pg 31, 2015)
Low Mass Ions in Laser Desorption/Ionization Mass Spectrometry of 1-Methoxy-5-aminotetrazole
Low threshold amplified spontaneous emission and ambipolar charge transport in non-volatile liquid fluorene derivatives
Low degree of freedom approach for predicting friction in elastohydrodynamically lubricated contacts
Low temperature magneto-structural transitions in Mn3Ni20P6
Low C24-OH and C22-OH sulfatides in human renal cell carcinoma (vol 49, pg 409, 2014)
Low band gap diketopyrrolopyrrole-based small molecule bulk heterojunction solar cells: influence of terminal side chain on morphology and photovoltaic performance
Low skeletal muscle mass is associated with non-alcoholic fatty liver disease in Korean adults: the Fifth Korea National Health and Nutrition Examination Survey
Low beta(2)-adrenergic receptor level may promote development of castration resistant prostate cancer and altered steroid metabolism
Low Temperature Treatment Affects Concentration and Distribution of Chrysanthemum Stunt Viroid in Argyranthemurn
Low temperature sintering and microwave dielectric properties of LiMBO3 (M = Ca, Sr) ceramics
Low velocity impact analysis of sandwich plates with functionally graded face sheets
Low pressure steam expansion pretreatment as a competitive approach to improve diosgenin yield and the production of fermentable sugar from Dioscorea zingiberensis CH Wright
Low temperature molten salt synthesis of YAG: Ce spherical powder and its thermally stable luminescent properties after post-annealing treatment
Low back-pressure hierarchically structured multichannel microfluidic bioreactors for rapid protein digestion - Proof of concept
Low power deposition of the polycrystalline CuxO film with a high mobility and a low hole concentration by radio-frequency magnetron sputtering of a Cu2O target
Low Dose Cytosine Arabinoside and Azacitidine Combination in Elderly Patients with Acute Myeloid Leukemia and Refractory Anemia with Excess Blasts (MDS-RAEB2)
Low Humidifying Proton Exchange Membrane Fuel Cells with Enhanced Power and Pt-C-h-SiO2 Anodes Prepared by Electrophoretic Deposition
Low Plasma Klotho Concentrations and Decline of Knee Strength in Older Adults
Low Natural Cell Counts and Risk of Invasive Fungal Disease After Solid Organ Transplantation Reply
Low circulating ghrelin levels in women with polycystic ovary syndrome: a systematic review and meta-analysis
Low Energy BCl3 Plasma Doping of Few-Layer Graphene
Low vitamin D and the risk of developing chronic widespread pain: results from the European male ageing study
Low altitude unmanned aerial vehicle for characterising remediation effectiveness following the FDNPP accident
Low temperature fabrication and doping concentration analysis of Au/Sb ohmic contacts to n-type Si
Low k epoxy resin containing cycloaliphatic hydrocarbon with high crosslinking density
Low complexity depth mode decision for HEVC-based 3D video coding
Low threshold photonic crystal laser based on a Rhodamine dye doped high gain polymer
Low Food Availability Narrows the Tolerance of the Copepod Eurytemora affinis to Salinity, but Not to Temperature
Low cytotoxic trace element selenium nanoparticles and their differential antimicrobial properties against S-aureus and E-coli
Low intramuscular fat (but high in PUFA) content in cooked cured pork ham decreased Mail lard reaction volatiles and pleasing aroma attributes
Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition
Low leakage ZrO2 based capacitors for sub 20 nm dynamic random access memory technology nodes
Low Switching Voltage Mach-Zehnder Modulator Monolithically Integrated With DFB Laser for Data Transmission up to 107.4 Gb/s
Low charge state heavy ion production with sub-nanosecond laser
Low Thermal Gradient Czochralski growth of large CdWO4 crystals and electronic properties of (010) cleaved surface
Low field photo-CIDNP in the intramolecular electron transfer of naproxen-pyrrolidine dyads
Low compliance to pharmacological treatment is linked to cognitive impairment in euthymic phase of bipolar disorder
Low Volume Polyethylene Glycol (PEG) Plus Ascorbic Acid, a Valid Alternative to Standard PEG
Low bandwidth desktop and video streaming for collaborative tiled display environments
Low toxic and high soluble camptothecin derivative 2-47 effectively induces apoptosis of tumor cells in vitro
Low procedure-related mortality achieved with alcohol septal ablation in European patients
Low temperature sintering and microwave dielectric properties of CoTiNb2O8 ceramics with CuO addition
Low bone mineral density for age/osteoporosis in triple A syndrome-an overlooked symptom of unexplained etiology
Low Temperature Chlorine-Initiated Oxidation of Small-Chain Methyl Esters: Quantification of Chain-Terminating HO2-Elimination Channels
Low indoleamine 2,3-dioxygenase activity in persistent food allergy in children
Low emissions trapped vortex combustor
Low expression of Dapper1 induces malignancy via the Wnt signalling pathway and is associated with poor prognosis in gastric cancer
Low incidence of KRAS, BRAF, and PIK3CA mutations in adenocarcinomas of the ampulla of Vater and their prognostic value
Low level of low-density lipoprotein cholesterol is related with increased hemorrhagic transformation after acute ischemic cerebral infarction
Low energy emulsion-based fermentation enabling accelerated methane mass transfer and growth of poly(3-hydroxybutyrate)-accumulating methanotrophs
Low stress resilience in late adolescence and risk of hypertension in adulthood
Low Infection Rates in Total Knee Arthroplasty in End Stage Renal Failure Patients
Low Sampling Rate Online Parameters Monitoring of DC-DC Converters for Predictive-Maintenance Using Biogeography-Based Optimization
Low temperature in situ synthesis and the formation mechanism of various carbon-encapsulated nanocrystals by the electrophilic oxidation of metallocene complexes
Low intensity-pulsed ultrasound induced apoptosis of human hepatocellular carcinoma cells in vitro
Low Testosterone Levels and Reduced Kidney Function in Japanese Adult Men: The Locomotive Syndrome and Health Outcome in Aizu Cohort Study
Low circulating pentraxin 3 levels in pregnancy is associated with gestational diabetes and increased apoB/apoA ratio: a 5-year follow-up study
Low serum levels of vitamin D are associated with post-stroke depression
Low Dimensional Vessiot-Guldberg-Lie Algebras of Second-Order Ordinary Differential Equations
Low temperature, high magnetic field investigations of the nature of magnetism in the molecular semiconductor beta- cobalt phthalocyanine (C32H16CoN8)
Low dose cyclophosphamide: Mechanisms of T cell modulation
Low rates of endocarditis in healthcare-associated Staphylococcus aureus bacteremia suggest that echocardiography might not always be required
Low Ambient Temperature and Intracerebral Hemorrhage: The INTERACT2 Study
Low n-6/n-3 PUFA Ratio Improves Lipid Metabolism, Inflammation, Oxidative Stress and Endothelial Function in Rats Using Plant Oils as n-3 Fatty Acid Source
Low Serum 25-Hydroxyvitamin D Levels Are Associated with Dry Eye Syndrome
Low levels of posttraumatic stress symptoms and psychiatric symptomatology among third-generation Holocaust survivors whose fathers were war veterans
Low bone mineral density is a common feature of Zellweger spectrum disorders
Low attentive and high impulsive rats: A translational animal model of ADHD and disorders of attention and impulse control
Low vitamin D levels in healthy controls and patients with autoimmune neuromuscular disorders in Greece
Low adherent cancer cell subpopulations are enriched in tumorigenic and metastatic epithelial-to-mesenchymal transition-induced cancer stem-like cells
Low Leakage-Current InAsSb Nanowire Photodetectors on Silicon
Low temperature synthesis, characterization and photoluminescence study of plate-like ZnS
Low Adsorption of Magnetite Nanoparticles with Uniform Polyelectrolyte Coatings in Concentrated Brine on Model Silica and Sandstone
Low Radiation Dose and Low Cell Dose Increase the Risk of Graft Rejection in a Canine Hematopoietic Stem Cell Transplantation Model
Low Temperature Thermochromic Polydiacetylenes: Design, Colorimetric Properties, and Nanofiber Formation
Low cost 3D underwater surface reconstruction technique by image processing
Low pressure sand casting of ultrasonically degassed AlSi7Mg0.3 alloy: Modelling and experimental validation of mould filling
Low degree Nullstellensatz certificates for 3-colorability
Low prevalence of mupirocin resistance in Belgian Staphylococcus aureus isolates collected during a 10 year nationwide surveillance
Low cost porous MgO substrates for oxygen transport membranes
Low Trap Density in InAs/High-k Nanowire Gate Stacks with Optimized Growth and Doping Conditions
Low maternal education and socio-economic status were associated with household food insecurity in children under five with diarrhoea in Bangladesh
Low prevalence of Salmonella in Swedish dairy herds highlight differences between serotypes
Low Complexity Distributed Max-Throughput Algorithm for User Association in Heterogeneous Network
Low Counts of B Cells, Natural Killer Cells, Monocytes, Dendritic Cells, Basophils, and Eosinophils are Associated with Postengraftment Infections after Allogeneic Hernatopoietic Cell Transplantation
Low Concordance between Gene Expression Signatures in ER Positive HER2 Negative Breast Carcinoma Could Impair Their Clinical Application
Low temperature and large-scale growth of ZnO nanoneedle arrays with enhanced optical and surface-enhanced Raman scattering properties
Low levels of chemical anthropogenic pollution may threaten amphibians by impairing predator recognition
Low stocking density negatively affects growth, metabolism and stress pathways in juvenile specimens of meagre (Argyrosomus regius, Asso 1801)
Low Cytotoxicity and Genotoxicity of Two-Dimensional MoS2 and WS2
Low Contrast Dose Catheter-Directed CT Angiography (CCTA)
Low Fecal Calprotectin Correlates with Histological Remission and Mucosal Healing in Ulcerative Colitis and Colonic Crohn%26apos;s Disease
Low temperature FTIR, Raman, NMR spectroscopic and theoretical study of hydroxyethylammonium picrate
Low Natural Cell Counts and Risk of Invasive Fungal Disease After Solid Organ Transplantation
Low drive field amplitude for improved image resolution in magnetic particle imaging
Low dose tunicamycin enhances atherosclerotic plaque stability by inducing autophagy
Low Incidence of Synchronous or Metachronous Tumors after Endoscopic Submucosal Dissection for Early Gastric Cancer with Undifferentiated Histology
Low habitat overlap at landscape scale between wild camelids and feral donkeys in the Chilean desert
Low mean impedance in 24-hour tracings and esophagitis in children: a strong connection
Low Prevalence of Mixed Dementia in a Cohort of 2,000 Elderly Patients in a Memory Clinic Setting
Low serum 25-hydroxyvitamin D is associated with increased risk of stress fracture during Royal Marine recruit training
Low c-Met expression levels are prognostic for and predict the benefits of temozolomide chemotherapy in malignant gliomas
Low temperature photoluminescence from disordered granular ZnO
Low water availability and salinity effects on seedling viability of Bassia indica compared to B-iranica and B-prostrata (Amaranthaceae)
Low Stromal Area and High Stromal Microvessel Density Predict Poor Prognosis in Pancreatic Cancer
Low temperature fabrication of metal oxide thin film transistors formed by a heated aqueous precursor solution
Low volume-high intensity interval exercise elicits antioxidant and anti-inflammatory effects in humans
Low Thresholds for a Nonconventional Polymer Blend-Amplified Spontaneous Emission and Lasing in F8(1-x):SYx System
Low Interferon Relative-Response to Cytomegalovirus Is Associated with Low Likelihood of Intrauterine Transmission of the Virus
Low ALT Levels Independently Associated with 22-Year All-Cause Mortality Among Coronary Heart Disease Patients
Low prevalence of latex allergy in children with spinal dysraphism in non-latex-free environment
Low resolution solution structure of an enzymatic active AhpC(10):AhpF(2) ensemble of the Escherichia coli Alkyl hydroperoxide Reductase
Low Levels of Apolipoprotein B-100 Autoantibodies Are Associated With Increased Risk of Coronary Events
Low energy constants of SU(2) partially quenched chiral perturbation theory from N-f=2+1 domain wall QCD
Low Pain Catastrophization and Disability Predict Successful Outcome to Radiofrequency Neurotomy in Individuals with Chronic Whiplash
Low Protein A20 in Minor Salivary Glands is Associated with Lymphoma in Primary Sjogren's Syndrome
Low self-efficacy is associated with decreased emergency department use in underserved men with prostate cancer
Low Frequency of MKRN3 Mutations in Central Precocious Puberty Among Korean Girls
Low Magnesium Levels in Adults with Metabolic Syndrome: a Meta-Analysis
Low frequency of broadly neutralizing HIV antibodies during chronic infection even in quaternary epitope targeting antibodies containing large numbers of somatic mutations
Low braking index of PSR J1734-3333: an interaction between fall-back disc and magnetic field?
Low cerebrospinal fluid and plasma orexin-A (hypocretin-1) concentrations in combat-related posttraumatic stress disorder (vol 35, pg 1001, 2010)
Low temperature diffusion and its impact on hydrogenation
Low insertion loss of 200 mu m-long graphite coplanar waveguide
Low thermal expansion over a wide temperature range of Zr1-xFexV2-xMoxO7 (0 <= x <= 0.9)
Low total cholesterol level is the independent predictor of poor outcomes in patients with acute ischemic stroke: a hospital-based prospective study
Low dimensional magnetism in MnNb2-xVxO6
Low density lipoprotein receptor-related protein 1 mediated endocytosis of beta 1-integrin influences cell adhesion and cell migration
Low BIK outside-inside-out interactive inflammation immune-induced transcription-dependent apoptosis through FUT3-PMM2-SQSTM1-SFN-ZNF384
Low level of basal testosterone: a significant risk factor for poor oocyte yield after ovulation induction
Low temperature heat capacity of permanently densified SiO2 glasses
Low cost shaped beam synthesis for semi-smart base station antennas
Low temperature oxidation of Fe-included single-walled carbon nanohorns in water by ozone injection to enhance porous and magnetic properties
Low simvastatin concentrations reduce oleic acid-induced steatosis in HepG(2) cells: An in vitro model of non-alcoholic fatty liver disease
Low temperature sintering process of copper fine particles under nitrogen gas flow with Cu2+-alkanolamine metallacycle compounds for electrically conductive layer formation
Low Z target switching to increase tumor endothelial cell dose enhancement during gold nanoparticle-aided radiation therapy
Low Density Sugarcane Bagasse Particleboard Bonded with Citric Acid and Sucrose: Effect of board density and additive content
Low tritium partial pressure permeation system for mass transport measurement in lead lithium eutectic
Low Power Consumption Design and Fabrication of Thin Film Core for Micro Fluxgate
Low urinary citrulline/arginine ratio associated with blood pressure abnormalities and arterial stiffness in childhood chronic kidney disease
Low loss dielectric material system of (1-x) (Mg0.95Co0.05) 2(Ti0.95Sn0.05) O-4- x(Ca0.8Sm0.4/3)TiO3 at microwave frequency with a near-zero temperature coefficient of the resonant frequency
Low expression of Aldo-keto reductase 1B10 is a novel independent prognostic indicator for nasopharyngeal carcinoma
Low expression of N-myc downstream-regulated gene 2 (NDRG2) correlates with poor prognosis in hepatoblastoma
Low serum dehydroepiandrosterone examined by liquid chromatography-tandem mass spectrometry correlates with poor prognosis in hormone-naive prostate cancer
Low Predictability of Colour Polymorphism in Introduced Guppy (Poecilia reticulata) Populations in Panama
Low Major Histocompatibility Complex Class II Variation in the Endangered Indo-Pacific Humpback Dolphin (Sousa chinensis): Inferences About the Role of Balancing Selection
Low Power Semi-systolic Architectures for Polynomial-Basis Multiplication over GF(2(m)) Using Progressive Multiplier Reduction
Low serum calcium is associated with left ventricular systolic dysfunction in a Chinese population with coronary artery disease
Low rank matrix completion by alternating steepest descent methods
Low Expression of Mir-137 Predicts Poor Prognosis in Cutaneous Melanoma Patients
Low Titers of Canine Distemper Virus Antibody in Wild Fishers (Martes pennanti) in the Eastern USA
Low temperature synthesis of RGO-Au nanocomposite with apparently reduced time and its application as a chemical sensor
Low miR-19b-1-5p expression in isolated platelets after aspirin use is related to aspirin insensitivity
Low Response of Renin-Angiotensin System to Sodium Intake Intervention in Chinese Hypertensive Patients
Low residual donor concentration and enhanced charge transport in low-cost electrodeposited ZnO
Low amplified spontaneous emission threshold and suppression of electroluminescence efficiency roll-off in layers doped with ter(9,9 '-spirobifluorene)
Low genetic diversity, restricted dispersal, and elevation-specific patterns of population decline in American pikas in an atypical environment
Low free drug concentration prevents inhibition of F508del CFTR functional expression by the potentiator VX-770 (ivacaftor)
Low Plasma Levels of Adiponectin Do Not Explain Acute Respiratory Distress Syndrome Risk: a Prospective Cohort Study of Patients with Severe Sepsis
Low Dark-Current, High Current-Gain of PVK/ZnO Nanoparticles Composite-Based UV Photodetector by PN-Heterojunction Control
Low carbon economy and equitable society: production, supply chain, and operations management perspectives
Low complexity and area efficient reconfigurable multimode interleaver address generator for multistandard radios
Low Risk of Birth Defects for Infants Whose Mothers Are Treated With Anti-Tumor Necrosis Factor Agents During Pregnancy
Low levels of mannose-binding lectin at admission increase the risk of adverse neurological outcome in preterm infants: a 1-year follow-up study
Low generation polyamine dendrimers bearing flexible tetraethylene glycol as nanocarriers for plasmids and siRNA
Low temperature isolation of a dinuclear silver complex of the cyclotetraphosphane [ClP(mu-PMes*)](2)
Low Side-Lobe Substrate-Integrated-Waveguide Antenna Array Using Broadband Unequal Feeding Network for Millimeter-Wave Handset Device
Low LET radiolysis escape yields for reducing radicals and H-2 in pressurized high temperature water
Low cost and high performance GPON, GEPON and RFoG optical network pentaplexer module design using diffractive grating approach
Low density lipoprotein/pectin complex nanogels as potential oral delivery vehicles for curcumin
Low prevalence of Plasmodium and absence of malaria transmission in Conakry, Guinea: prospects for elimination
Low rate of advanced adenoma formation during a 5-year colonoscopy surveillance period after adequate polypectomy of non-advanced adenoma
Low skeletal muscle density is associated with poor survival in patients who receive chemotherapy for metastatic gastric cancer
Low Genetic Quality Alters Key Dimensions of the Mutational Spectrum
Low Frequency of Fruit and Vegetable Consumption Among Canadian Youth: Findings From the 2012/2013 Youth Smoking Survey
Low Insertion Loss, Compact 4-bit Phase Shifter in 65 nm CMOS for 5G Applications
Low complication rate and an increasing incidence of surgical repair of primary indirect sliding inguinal hernia
Low cyclic fatigue behavior of electron-beam-welded Ti-6Al-4V titanium joint
Low mass binary neutron star mergers: Gravitational waves and neutrino emission
Low coherence interferometry of the cochlear partition
Low penetrance of paraganglioma and pheochromocytoma in an extended kindred with a germline SDHB exon 3 deletion
Low back pain in adolescents with inflammatory arthritis can be due to lumbar spine apophyseal joint inflammation, and this requires contrast enhancement for adequate assessment: comment on the article by Weiss et al
Low plasma levels of brain derived neurotrophic factor are potential risk factors for diabetic retinopathy in Chinese type 2 diabetic patients
Low Phylogenetic Beta Diversity and Geographic Neo-endemism in Amazonian White-sand Forests
Low compliance with guidelines for re-staging in high-grade T1 bladder cancer and the potential impact on patient outcomes in the province of Alberta
Low Cardiac Output Leads Hepatic Fibrosis in Right Heart Failure Model Rats
Low cycle fatigue behavior of a 10Cr-2W-Mo-3Co-NbV steel
Low cost microfluidic device based on cotton threads for electroanalytical application
Low Voltage Ride-Through Capability Solutions for Permanent Magnet Synchronous Wind Generators
Low rates of biologic-free clinical disease activity index remission maintenance after biologic disease-modifying anti-rheumatic drug discontinuation while in remission in a Japanese multicentre rheumatoid arthritis registry
Low temperature dry reforming of methane over Pt-Ni-Mg/ceria-zirconia catalysts (vol 179, pg 213, 2015)
Low levels of high-density lipoprotein cholesterol increase the risk of incident kidney disease and its progression
Low cost rapid route for hydrothermal synthesis of nano ZSM-5 with mixture of two, three and four structure directing agents
Low ERCP Volume Is Associated with More Industry Representative Interactions but Similar Training of Nurses
Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation
Low elastic modulus and expansive well cement system: The application of gypsum microsphere
Low fluence pulsed light enhanced phytochemical content and antioxidant potential of 'Tommy Atkins' mango peel and pulp
Low iodine diet in differentiated thyroid cancer: a review
Low Temperature Thermal Atomic Layer Deposition of Cobalt Metal Films
Low uptake affinity cultivars with biochar to tackle Cd-tainted rice - A field study over four rice seasons in Hunan, China
Low T3 syndrome is a strong predictor of poor outcomes in patients with community-acquired pneumonia
Low temperature sintering and microwave dielectric properties of 0.4Nd(Zn0.5Ti0.5)O-3-0.6Ca(0.61)Nd(0.26)TiO(3) ceramics with BaCu(B2O5) additive
Low regeneration of lesions produced by coring in Orbicella faveolata
Low voltage logic circuits exploiting gate level dynamic body biasing in 28 nm UTBB FD-SOI
Low temperature photoluminescence spectroscopy studies on sputter deposited CdS/CdTe junctions and solar cells
Low Serum Lysosomal Acid Lipase Activity Correlates with Advanced Liver Disease
Low protein and high-energy diet: a possible natural cause of fatty liver hemorrhagic syndrome in caged White Leghorn laying hens
Low frequency magnetic field suppression in an atomic spin co-magnetometer with a large electron magnetic field
Low Energy Lorentz Violation from Modified Dispersion at High Energies
Low photoelastic and optical properties in RO-SnO-P2O5 (R = Zn, Ba, Sr) glasses
Low bone mass and changes in the osteocyte network in mice lacking autophagy in the osteoblast lineage
Low prevalence of unexpected popliteal DVT detected on routine MRI assessment of the knee
Low temperature electroformation of TaOx-based resistive switching devices
Low carbon technology assessment and planning-Case analysis of building sector in Chongming, Shanghai
Low melatonin production by suppression of either serotonin N-acetyltransferase or N-acetylserotonin methyltransferase in rice causes seedling growth retardation with yield penalty, abiotic stress susceptibility, and enhanced coleoptile growth under anoxic conditions
Low Power Routing and Channel Allocation of Wireless Video Sensor Networks Using Wireless Link Utilization
Low Cobalamin Levels as Predictors of Cobalamin Deficiency: Importance of Comorbidities Associated with Increased Oxidative Stress
Low rates of vaccination in listed kidney transplant candidates
Low birth weight affects lifetime productive performance and longevity of female swine
Low frequency vibroacoustic investigation of wooden T-junctions
Low temperature In-Bi-Zn solder alloy on copper substrate
Low expression of pro-apoptotic Bcl-2 family proteins sets the apoptotic threshold in Waldenstrom macroglobulinemia
Low temperature catalytic combustion of 1,2-dichlorobenzene over CeO2-TiO2 mixed oxide catalysts
Low light level all-optical switching in a four-level atom-cavity system
Low High-Sensitivity Troponin I Level Accurate in Identifying Patients at Low Risk of Cardiac Events
Low thermal conductivity and enhanced thermoelectric performance of nanostructured Al-doped ZnTe
Low intratumoral regulatory T cells and high peritumoral CD8(+) T cells relate to long-term survival in patients with pancreatic ductal adenocarcinoma after pancreatectomy
Low space-complexity and low power semi-systolic multiplier architectures over GF(2(m)) based on irreducible trinomial
Low pH and Anionic Lipid-dependent Fusion of Uukuniemi Phlebovirus to Liposomes
Low Frequency EPR and EMR Point Spectroscopy and Imaging of a Surface
Low cycle fatigue behavior of casting A319 alloy under two different aging conditions
Low Cost Disaggregation of Smart Meter Sensor Data
Low geomagnetic field intensity in the Matuyama Chron: palaeomagnetic study of a lava sequence from Afar depression, East Africa
Low multifunctional redundancy of soil fungal diversity at multiple scales
Low temperature propane oxidation over Co3O4 based nano-array catalysts: Ni dopant effect, reaction mechanism and structural stability
Low Power and High Driving Capability of Amorphous Silicon Gate Driver Circuit
Low conservation value of converted habitat for avifauna in tropical peatland on Sumatra, Indonesia
Low Urinary Iodine Concentrations Associated with Dyslipidemia in US Adults
Low levels of ficolin-3 are associated with diabetic peripheral neuropathy
Low benthic respiration and nutrient flux at the highly productive Amundsen Sea Polynya, Antarctica
Low doses of PEG-coated gold nanoparticles sensitize solid tumors to cold plasma by blocking the PI3K/AKT-driven signaling axis to suppress cellular transformation by inhibiting growth and EMT
Low temperature chip on film bonding technology for 20 mu m pitch applications
Low E-prostanoid 2 receptor levels and deficient induction of the IL-1 beta/IL-1 type I receptor/COX-2 pathway: Vicious circle in patients with aspirin-exacerbated respiratory disease
Low immunoglobulin M memory B-cell percentage in patients with heterotaxy syndrome correlates with the risk of severe bacterial infection
Low prevalence of HER2 positivity amongst BRCA1 and BRCA2 mutation carriers and in primary BRCA screens
Low vitamin D status in Europe: moving from evidence to sound public health policies
Low Alpha-Fetoprotein Levels Are Associated with Improved Survival in Hepatocellular Carcinoma Patients with Portal Vein Thrombosis (vol 61, pg 937, 2016)
Low Alpha-Fetoprotein Levels Are Associated with Improved Survival in Hepatocellular Carcinoma Patients with Portal Vein Thrombosis
Low pressure UV/H2O2 treatment for the degradation of the pesticides metaldehyde, clopyralid and mecoprop - Kinetics and reaction product formation
Low Dose Iron Treatments Induce a DNA Damage Response in Human Endothelial Cells within Minutes
Low back pain misdiagnosis or missed diagnosis: Core principles
Low Seroprevalence Indicates Vulnerability of Eastern and Central Sudan to Infection with Chikungunya Virus
Low expression of estrogen receptor beta in T lymphocytes and high serum levels of antiestrogen receptor a antibodies impact disease activity in female patients with systemic lupus erythematosus
Low velocity impact response of basalt-aluminium fibre metal laminates
Low Carbohydrate-Diet Scores and Long-term Risk of Type 2 Diabetes Among Women With a History of Gestational Diabetes Mellitus: A Prospective Cohort Study
Low energy intake during the first 4 weeks of life increases the risk for severe retinopathy of prematurity in extremely preterm infants
Low Temperature Soda-Oxygen Pulping of Bagasse
Low expression of equilibrative nucleoside transporter 1 is associated with poor prognosis in chemotherapy-naive pT2 gallbladder adenocarcinoma patients
Low total cholesterol and high total bilirubin are associated with prognosis in patients with prolonged sepsis
Low 25-Hydroxyvitamin D Levels in Children with Spina Bifida
Low bone mineral density is a common finding in patients with homocystinuria
Low energy neutron background in deep underground laboratories
Low testosterone levels are associated with endothelial dysfunction in oophorectomized early postmenopausal women
Low Levels of Circulating Adiponectin Are Associated with Multiple Myeloma Risk in Overweight and Obese Individuals
Low cycle fatigue damage and critical crack length affecting loss of fracture ductility
Low thermal budget n-type doping into Ge(001) surface using ultraviolet laser irradiation in phosphoric acid solution
Low Back Pain After Sudden Onset of Abdominal Pain in a Patient with Crohn's Disease
Low frequency electrostatic waves propagating in plasmas with parameters varying along magnetic field lines
Low potency toxins reveal dense interaction networks in metabolism
Low switching voltage ZnO quantum dots doped polymer-dispersed liquid crystal film
Low False Alarm Rate Model for Unsafe-Proximity Detection in Construction
Low viral predation pressure in cold hypersaline Arctic sediments and limits on lytic replication
Low temperature sintering behavior of La-Co substituted M-type strontium hexaferrites for use in microwave LTCC technology
Low intensity, long term exposure to tobacco smoke inhibits hippocampal neurogenesis in adult mice
Low molecular weight organic acid salts, markers of old fungi activity in wall paintings
Low temperature transport and thermodynamic properties of the Zintl compound Yb11AlSb9: A new Kondo lattice semiconductor
Low temperature formation of rectangular PbTe nanocrystals and their thermoelectric properties
Low energy, organic solvent-free co-assembly of zein and caseinate to prepare stable dispersions
Low cost of pulmonary ventilation in American alligators (Alligator mississippiensis) stimulated with doxapram
Low Mass Blood Peptides Discriminative of Inflammatory Bowel Disease (IBD) Severity: A Quantitative Proteomic Perspective
Low temperature mobility controlled by charged dislocations and neutral defects in Pb1-xEuxSe layers grown by MBE
Low complexity direction-of-arrival estimation of coherent noncircular sources
Low cycle fatigue behavior of modified 9Cr-1Mo steel at room temperature
Low dropout linear regulator's electromagnetic interference damage model based on BP neural network
Low loss and narrow-band THz filter based on magnetic photonic crystals
Low frequency noise and photo-enhanced field emission from ultrathin PbBi2Se4 nanosheets
Low 25-Hydroxyvitamin D Level Is Associated with Peripheral Arterial Disease in Type 2 Diabetes Patients
Low parathyroid hormone status induced by high dialysate calcium is an independent risk factor for cardiovascular death in hemodialysis patients
Low dielectric loss in nano-Li-ferrite spinels prepared by sol-gel auto-combustion technique
Low Molecular Mass Polypeptide 7 Single Nucleotide Polymorphism is Associated with the Progression of Liver Fibrosis in Patients Infected with Hepatitis C Virus Genotype 4
Low temperature annealing effects on the stability of Bi nanowires
Low energy and low fluence helium implantations in tungsten: Molecular dynamics simulations and experiments
Low salinity injection into asphaltenic-carbonate oil reservoir, mechanistical study
Low latency radiation tolerant self-repair reconfigurable SRAM architecture
Low omega-3 fatty acid levels associate with frequent gout attacks: a case control study
Low chromatic aberration hexapole for molecular state selection
Low doses of a neonicotinoid insecticide modify pheromone response thresholds of central but not peripheral olfactory neurons in a pest insect
Low variability at the HLA-E promoter region in the Brazilian population
Low Vitamin D and High Fibroblast Growth Factor 23 Serum Levels Associate with Infectious and Cardiac Deaths in the HEMO Study
Low lighting image enhancement using local maximum color value prior
Low nourishment of B-vitamins is associated with hyperhomocysteinemia and oxidative stress in newly diagnosed cardiac patients
Low cost of gastric acid secretion during digestion in ball pythons
Low Temperature Synthesis of TiO2 Nanoparticles with High Photocatalytic Activity and Photoelectrochemical Properties through Sol-Gel Method
Low Cycle Fatigue Behaviour of Modified 9Cr-1Mo Steel at 600 degrees C
Low hygroscopic spray-dried powders with trans-glycosylated food additives enhance the solubility and oral bioavailability of ipriflavone
Low profile antenna based on CRLH-TL with broad bandwidth
Low Loss Multimode Optical Fibers via Fictive Temperature Reduction by Means of Outer-Cladding Na Doping
Low Ten-eleven-translocation 2 (TET2) transcript level is independent of TET2 mutation in patients with myeloid neoplasms
Low Testosterone Level and Risk of Alzheimer's Disease in the Elderly Men: a Systematic Review and Meta-Analysis
Low temperature synthesis and photocatalytic performance of tungsten trioxide film
Low back pain status of female university students in relation to different sport activities
Low back pain and fevers
Low dose aspirin like analgesic and anti-inflammatory activities of mono-hydroxybenzoic acids in stressed rodents
Low seasonality in central equatorial Pangea during a late Carboniferous highstand based on high-resolution isotopic records of brachiopod shells
Low dose exposure to Bisphenol A alters development of gonadotropin-releasing hormone 3 neurons and larval locomotor behavior in Japanese Medaka
Low carotid artery wall shear stress is independently associated with brain white-matter hyperintensities and cognitive impairment in older patients
Low testosterone as a better predictor of mortality than sarcopenia in men with advanced liver disease
Low luminance deficit and night vision symptoms in intermediate age-related macular degeneration
Low temperature synthesis of LaNi5 nanoparticles for hydrogen storage
Low serum 25-hydroxyvitamin D concentrations are associated with total adiposity of children in the United States: National Health and Examination Survey 2005 to 2006
Low Triiodothyronine Syndrome in Patients With Radiation Enteritis Risk Factors and Clinical Outcomes an Observational Study
Low emittance pre-injection system for Iranian Light Source Facility
Low Ability of Great Tits to Discriminate Similarly Inconspicuous Edible and Inedible Prey
Low temperature synthesis of nano alpha-alumina powder by two-step hydrolysis
Low vitamin C values are linked with decreased physical performance and increased oxidative stress: reversal by vitamin C supplementation
Low frequency noise variability in ultra scaled FD-SOI n-MOSFETs: Dependence on gate bias, frequency and temperature
Low Cycle Fatigue behavior of SMAW welded Alloy28 superaustenitic stainless steel at room temperature
Low Muscle Strength Thresholds for the Detection of Cardiometabolic Risk in Adolescents
Low levels of specularity support operational color constancy, particularly when surface and illumination geometry can be inferred
Low temperature HD plus ortho-/para-H-2 inelastic scattering of astrophysical interest
Low loss ridge waveguides in lithium niobate thin films by optical grade diamond blade dicing
Low doses of glyphosate change the responses of soyabean to subsequent glyphosate treatments
Low protein diet during gestation and lactation increases food reward seeking but does not modify sucrose taste reactivity in adult female rats
Low doses of the mycotoxin citrinin protect cortical neurons against glutamate-induced excitotoxicity
Low doses of gamma irradiation potentially modifies immunosuppressive tumor microenvironment by retuning tumor-associated macrophages: lesson from insulinoma
Low complexity resource allocation in MIMO-OFDM-based cooperative cognitive radio networks
Low anterior resection syndrome: a survey of the members of the American Society of Colon and Rectal Surgeons (ASCRS), the Spanish Association of Surgeons (AEC), and the Spanish Society of Coloproctology (AECP)
Low Cost Eye Tracking: The Current Panorama
Low cost delivery of proteins bioencapsulated in plant cells to human non-immune or immune modulatory cells
Low Intensity Ultrasound Mediated Liposomal Doxorubicin Delivery Using Polymer Microbubbles
Low Herbivory among Targeted Reforestation Sites in the Andean Highlands of Southern Ecuador
Low prevalence of rmpA and high tendency of rmpA mutation correspond to low virulence of extended spectrum beta-lactamase-producing klebsiella pneumoniae isolates
Low nicotine dependence and high self-efficacy can predict smoking cessation independent of the presence of chronic obstructive pulmonary disease: a three year follow up of a population-based study
Low muscle strength in late adolescence and Parkinson disease later in life
Low rates of pollen contamination in a Scots pine seed orchard in Sweden: the exception or the norm?
Low Loss La5-xSrxTi4+xAl1-xO17 Ceramics for Microwave Dielectric Applications
Low back pain patients in Sweden, Denmark and the UK share similar characteristics and outcomes: a cross-national comparison of prospective cohort studies
Low multiple electrode aggregometry platelet responses are not associated with non-synonymous variants in G-protein coupled receptor genes
Low case notification rates of childhood tuberculosis in southern Ethiopia
Low Hemoglobin Level Is Associated with the Development of Delirium after Hepatectomy for Hepatocellular Carcinoma Patients
Low Vitamin D Levels Are Associated with Higher Opioid Dose in Palliative Cancer Patients - Results from an Observational Study in Sweden
Low prevalence of asthma among textile workers in Karachi, Pakistan
Low health literacy predicts decline in physical function among older adults: findings from the LitCog cohort study
Low Levels of Awareness of Lead Hazards among Pregnant Women in a High Risk-Johannesburg Neighbourhood
Low Levels of Microbial Translocation Marker LBP Are Associated with Sustained Viral Response after Anti-HCV Treatment in HIV-1/HCV Co-Infected Patients
Low cardiorespiratory fitness in young adulthood and future risk of disability pension: a follow-up study until 59 years of age in Swedish men
Low temperature sintering and microwave dielectric properties of Zn0.5Ti0.5NbO4 ceramics with ZnO additive for LTCC applications
Low IQ has become less important as a risk factor for early disability pension. A longitudinal population-based study across two decades among Swedish men
Low anthropometric measures and mortality-results from the Malmo Diet and Cancer Study
Low Apgar score, neonatal encephalopathy and epidural analgesia during labour: a Swedish registry-based study
Low IL-8 is associated with anxiety in suicidal patients: genetic variation and decreased protein levels
Low density separation as a stopping criterion for active learning SVM
Low expression of CD39(+)/CD45RA(+) on regulatory T cells (T-reg) cells in type 1 diabetic children in contrast to high expression of CD101(+)/CD129(+) on T-reg cells in children with coeliac disease
Low temperature synthesis of ZrS2 nanoflakes and their catalytic activity
Low Rates of Both Lipid-Lowering Therapy Use and Achievement of Low-Density Lipoprotein Cholesterol Targets in Individuals at High-Risk for Cardiovascular Disease across Europe
Low birthweight is associated with an increased risk of LADA and type 2 diabetes: results from a Swedish case-control study
Low gravity rotational culture and the integration of immunomodulatory stem cells reduce human islet allo-reactivity
Low acyl gellan gum fluid gel formation and their subsequent response with acid to impact on satiety
Low temperature induces cryoinjury in mouse corneal endothelial cells by stimulating the Stk11-p53 signal pathway
Low Alloy X100 Pipeline Steel Corrosion and Passivation Behavior in Bicarbonate-Based Solutions of pH 6.7 to 8.9 with Groundwater Anions: An Electrochemical Study
Low tube voltage dual source computed tomography to reduce contrast media doses in adult abdomen examinations: A phantom study
Low early B-cell factor 1 (EBF1) activity in human subcutaneous adipose tissue is linked to a pernicious metabolic profile
Low cost sequencing of mitogenomes from museum samples using baits capture and Ion Torrent
Low thyroid hormone levels improve survival in murine model for ocular melanoma
Low Non-NMDA Receptor Current Density as Possible Protection Mechanism from Neurotoxicity of Circulating Glutamate on Subfornical Organ Neurons in Rats
Low molecular weight poly (2-dimethylamino ethylmethacrylate) polymers with controlled.positioned fluorescent labeling: Synthesis, characterization and in vitro interaction with human endothelial cells
Low Pressure Plasma Voltage Process for Transformer Coupling Plasma Dry Etching
Low conservatism of the climatic niche of sea turtles and implications for predicting future distributions
Low concentrations of metal mixture exposures have adverse effects on selected biomarkers of Xenopus laevis tadpoles
Low temperature thermoluminescence of quaternary thallium sulfide Tl4InGa3S8
Low Back Pain: Guidelines for the Clinical Classification of Predominant Neuropathic, Nociceptive, or Central Sensitization Pain
Low contrast medium and radiation dose for hepatic computed tomography perfusion of rabbit VX2 tumor
Low expression of endothelin receptor B (EDNRB) is related to H3K9me3 binding with the EDNRB promoter region and is associated with the clinical T tumor stage in salivary adenoid cystic carcinoma
Low Birth Weight in MZ Twins Discordant for Birth Weight is Associated with Shorter Telomere Length and lower IQ, but not Anxiety/Depression in Later Life
Low methicillin-resistant Staphylococcus aureus carriage rate among Italian dental students
Low regional cerebral blood flow in burning mouth syndrome patients with depression
Low thermal resistance of a GaN-on-SiC transistor structure with improved structural properties at the interface
Low dose albumin for the prevention of renal impairment following large volume paracentesis in cirrhosis
Low elastic modulus Ti-Ta alloys for load-bearing permanent implants: Enhancing the biodegradation resistance by electrochemical surface engineering
Low doses of CMV induce autoimmune-mediated and inflammatory responses in bile duct epithelia of regulatory T cell-depleted neonatal mice
Low Mortality of Staphylococcus aureus Bacteremia in Icelandic Children Nationwide Study on Incidence and Outcome
Low energy pre-blended mortars: Part 1-Control of the sand drying process using a lime drying technique
Low energy pre-blended mortars: Part 2-Production and characterisation of mortars using a novel lime drying technique
Low Computational-complexity Model of EAF Arc-heat Distribution
Low thrombospondin 2 expression is predictive of low tumor regression after neoadjuvant chemoradiotherapy in rectal cancer
Low Yield of Mobile Cardiac Outpatient Telemetry after Cryptogenic Stroke in Patients with Extensive Cardiac Imaging
Low rate of prenatal diagnosis among neonates with critical aortic stenosis: insight into the natural history in utero
Low Testosterone Levels Are Associated With Poor Peripheral Bone Mineral Density and Quantitative Bone Ultrasound at Phalanges and Calcaneus in Healthy Elderly Men
Low Systolic Blood Pressure and Mortality From All-Cause and Vascular Diseases Among the Rural Elderly in Korea; Kangwha Cohort Study
Low Baseline Urine Creatinine Excretion Rate Predicts Poor Outcomes among Critically Ill Acute Stroke Patients
Low Potassium Dialysate as a Protective Factor of Sudden Cardiac Death in Hemodialysis Patients with Hyperkalemia
Low Molecular Weight Fucoidan Inhibits Tumor Angiogenesis through Downregulation of HIF-1/VEGF Signaling under Hypoxia
Low concentrations of trichosanthin induce apoptosis and cell cycle arrest via c-Jun N-terminal protein kinase/mitogen-activated protein kinase activation
Low frequency of anti-D alloimmunization following D plus platelet transfusion: the Anti-D Alloimmunization after D-incompatible Platelet Transfusions (ADAPT) study
Low noise 4-channel front end ASIC with on-chip DLL for the upgrade of the LHCb Calorimeter
Low estimated glomerular filtration rate is associated with poor outcomes in patients who suffered a large artery atherosclerosis stroke
Low Affinity and Slow Na+ Binding Precedes High Affinity Aspartate Binding in the Secondary-active Transporter Glt(Ph)
Low complexity predistortion and equalization in nonlinear multicarrier satellite communications
Low pericyte coverage of endometrial microvessels in heavy menstrual bleeding correlates with the microvessel expression of VEGF-A
Low serum interleukin-6 levels as a predictive marker of recurrence in patients with hepatitis B virus related hepatocellular carcinoma who underwent curative treatment
Low intensity ultrasound inhibits brain oedema formation in rats: potential action on AQP4 membrane localization
Low cytotoxicity rhodamine-based fluorescent probes for Fe(III) and their application in living cells
Low plasma magnesium and risk of developing chronic kidney disease: results from the PREVEND Study
Low normal thyroid function as a determinant of increased large very low density lipoprotein particles
Low levels of vitamin D are associated with multimorbidity: Results from the LifeLines Cohort Study
Low Carbon Footprint Routes for Bird Watching
Low Rates of Human Immunodeficiency Virus Testing Among Adolescent Gay, Bisexual, and Queer Men
Low "quotient" Lp(a) Concentration Mediates Autoimmune Activation and Independently Predicts Cardiometabolic Risk
Low Serum Concentrations of Moxifloxacin, Prothionamide, and Cycloserine on Sputum Conversion in Multi-Drug Resistant TB
Low abundance of mitochondrial DNA changes mitochondrial status and renders cells resistant to serum starvation and sodium nitroprusside insult
Low damage pre-doping on CVD graphene/Cu using a chlorine inductively coupled plasma
Low Levels of Dehydroepiandrosterone Sulfate in Younger Burnout Patients
Low resolution and high resolution MS for studies on the metabolism and toxicological detection of the new psychoactive substance methoxypiperamide (MeOP)
Low Intensive Lifestyle Modification in Young Adults With Metabolic Syndrome A Community-Based Interventional Study in Taiwan
Low copulatory activity in selectively bred Sardinian alcohol-nonpreferring (sNP) relative to alcohol-preferring (sP) rats
Low bone mineral density and fat-free mass in younger patients with a femoral neck fracture
Low Copy Number of the AMY1 Locus Is Associated with Early-Onset Female Obesity in Finland
Low contrast detectability performance of model observers based on CT phantom images: kVp influence
Low Cognitive Ability in Subjects With Bronchiectasis
Low Prevalence of Human Papillomavirus in Head and Neck Squamous Cell Carcinoma in Chinese Patients
Low Intensity Resistance Exercise Training with Blood Flow Restriction: Insight into Cardiovascular Function, and Skeletal Muscle Hypertrophy in Humans
Low gradient severe aortic stenosis with preserved ejection fraction: reclassification of severity by fusion of Doppler and computed tomographic data
Low Counts of Plasmacytoid Dendritic Cells after Engraftment Are Associated with High Early Mortality after Allogeneic Stem Cell Transplantation
Low molecular weight protein tyrosine phosphatase (LMWPTP) upregulation mediates malignant potential in colorectal cancer
Low brown adipose tissue activity in endurance-trained compared with lean sedentary men
Low body mass index might be a predisposing factor for varicocele recurrence: a prospective study
Low temperature luminescence and charge carrier trapping in a cryogenic scintillator Li2MoO4
Low emittance lattice for the storage ring of the Turkish Light Source Facility TURKAY
Low historical nitrogen deposition effect on carbon sequestration in the boreal zone
Low Power Ultrasound-Assisted Extraction of Phenolic Compounds from Mandarin (Citrus reticulata Blanco cv. Sainampueng) and Lime (Citrus aurantifolia) Peels and the Antioxidant
Low tobacco-related cancer incidence in offspring of long-lived siblings: a comparison with Danish national cancer registry data
Low mother-to-child-transmission rate of Hepatitis C virus in cART treated HIV-1 infected mothers
Low signal quality pulse oximetry measurements in newborn infants are reliable for oxygen saturation but underestimate heart rate
Low Antibiotic Resistance of Helicobacter pylori in The Netherlands
Low Power Wireless Smoke Alarm System in Home Fires
Low mass stellar companions around four giant stars
Low temperature, high conductivity Al-doped ZnO film fabrication using modified facing target sputtering
Low tidal volume ventilation ameliorates left ventricular dysfunction in mechanically ventilated rats following LPS-induced lung injury
Low Plasma Volume in Normotensive Formerly Preeclamptic Women Predisposes to Hypertension
Low prevalence of mitral valve prolapse in a population-based epidemiologic study
Low atrial rhythm mimics myocardial infarction
Low doses of ivermectin cause sensory and locomotor disorders in dung beetles
Low Surface Recombination Velocity on P-Type Cz-Si Surface by Sol-Gel Deposition of Al2O3 Films for Solar Cell Applications
Low Cerebral Oxygenation Levels during Resuscitation in Out-of-hospital Cardiac Arrest Are Associated with Hyperfibrinolysis
Low Frequency of KRAS Mutation in Pancreatic Ductal Adenocarcinomas in Korean Patients and Its Prognostic Value
Low damage etching method of low-k material with a neutral beam for interlayer dielectric of semiconductor device
Low voltage linear tunable transconductor for high speed filters
Low colonization rates of Clostridium difficile among patients and healthcare workers at Orebro University Hospital in Sweden
Low Temperature Solution-Processed Gate Dielectrics for Low-Voltage Organic Field-Effect Transistors
Low socioeconomic status and perceptions of social inadequacy and shame: findings from the Dutch SMILE study
Low Bone Mineral Density in Patients With Well- Suppressed HIV Infection: Association With Body Weight, Smoking, and Prior Advanced HIV Disease
Low grade inflammation is associated with lower velocity of sound and broadband ultrasound attenuation in older men, but not with bone loss or fracture risk in a longitudinal aging study
Low power low temperature poly-Si thin-film transistor shift register with DC-type output driver
Low oxygen levels contribute to improve photohydrogen production in mixotrophic non-stressed Chlamydomonas cultures
Low frequency gray-body factors and infrared divergences: Rigorous results
Low Mach number algorithm for droplet-laden turbulent channel flow including phase transition
Low disturbance seeding suppresses weeds in no-tillage soyabean
Low doses of X-rays induce prolonged and ATM-independent persistence gamma H2AX foci in human gingival mesenchymal stem cells
Low power micro-calorimetric sensors for analysis of gaseous samples
Low serum magnesium is associated with coronary artery calcification in a Korean population at low risk for cardiovascular disease
Low dose of mycophenolate mofetil is enough in desensitized kidney transplantation using rituximab
Low Baseline Interleukin-17A Levels Are Associated with Better Treatment Response at 12 Weeks to Tocilizumab Therapy in Rheumatoid Arthritis Patients
Low serum TNF-related apoptosis-inducing ligand (TRAIL) levels are associated with acute ischemic stroke severity
Low Cost Real Time Location System Based in Radio Frequency Identification for the Provision of Social and Safety Services
Low bilirubin levels are associated with coronary slow flow phenomenon
Low EGFR/MET ratio is associated with resistance to EGFR inhibitors in non-small cell lung cancer
Low magnesium levels an important new prognostic parameter can be overlooked in patients with Fournier's gangrene: a multicentric study
Low temperature effect on single and repeated impact behavior of woven glass-epoxy composite plates
Low Voltage Transmission Electron Microscopy of Graphene
Low velocity impact behavior of shear deficient RC beam strengthened with CFRP strips
Low Serum Level alpha-Synuclein and Tau Protein in Autism Spectrum Disorder Compared to Controls
Low C-reactive protein values at admission predict mortality in patients with severe community-acquired pneumonia caused by Streptococcus pneumoniae that require intensive care management
Low temperature electrical transport in modified carbon nanotube fibres
Low temperature co-sintering of Sr2Fe1.5Mo0.5O6-delta-Gd0.1Ce0.9O2-delta anode-supported solid oxide fuel cells with Li2O-Gd0.1Ce0.9O2-delta electrolyte
Low dosage sulfite pretreatment in a modern TMP-line
Low anti-staphylococcal IgG responses in granulomatosis with polyangiitis patients despite long-term Staphylococcus aureus exposure
Low velocity impact behavior of RC slabs with different support types
Low temperature catalytic partial oxidation of ethane to oxygenates by Fe- and Cu-ZSM-5 in a continuous flow reactor
Low Cost and High Performance UPQC with Four-Switch Three-Phase Inverters
Low Cycle Fatigue Behavior of a 10% Cr Martensitic Steel at 600 degrees C
Low Complexity Zeroforcing Precoder Design Under Per-Antenna Power Constraints
Low temperature one-step synthesis of carbon co-encapsulated NiS2, NiS and S-8 nanocrystals by electrophilic oxidation of nickelocene
Low Back Pain in Microgravity and Bed Rest Studies
Low JC virus antibody index during natalizumab treatment less safe than assumed?
Low temperature luminescence and scintillation characteristics of SrWO4 crystal
Low Dimensional Born-Infeld Equations Coupled with a Collisionless Matter Model
Low Salinity EOR Effects in Limestone Reservoir Cores Containing Anhydrite: A Discussion of the Chemical Mechanism
Low persistence in nature of captive reared rabbits after restocking operations
Low winter temperatures induce a disturbance of water relations in field olive trees
Low Serum Level of Klotho Is an Early Predictor of Atherosclerosis
Low genetic diversity in pygmy blue whales is due to climate-induced diversification rather than anthropogenic impacts
Low bone mineral density among adults with disabilities in Taiwan: A cross-sectional descriptive study
Low coercive field of polymer ferroelectric via x-ray induced phase transition
Low D4Z4 copy number and gender difference in Korean patients with facioscapulohumetal muscular dystrophy type 1
Low Temperature Synthesis of Rutile TiO2 Nanocrystals and Their Photovoltaic and Photocatalytic Properties
Low post-glacial rebound rates in the Weddell Sea due to Late Holocene ice-sheet readvance
Low interfacial contact resistance of Al-graphene composites via interface engineering
Low damage seismic solutions for non-structural drywall partitions
Low affinity of heterotrophic bacteria to loose deposits in drinking water distribution systems
Low Grade Myofibroblastic Sarcoma Occurred in the Scalp
Low dietary diversity and micronutrient adequacy among lactating women in a peri-urban area of Nepal
Low Temperature Processed InGaZnO Oxide Thin Film Transistor Using Ultra-Violet Irradiation
Low temperature sintering and magnetoelectric properties of laminated BaTiO3/BiY2Fe5O12 composites
Low 25-hydroxyvitamin D-2 and 25-hydroxyvitamin D-3 levels are independently associated with macroalbuminuria, but not with retinopathy and macrovascular disease in type 1 diabetes: the EURODIAB prospective complications study
Low leakage current AlGaN/GaN on Si-based Schottky barrier diode with bonding-pad electrode mesa etching
Low Empathy in Deaf and Hard of Hearing (Pre)Adolescents Compared to Normal Hearing Controls
Low Cognitive Status Is Associated with a Lower Ability to Maintain Standing Balance in Elderly Outpatients
Low Vitamin D Levels Are Associated with Both Iron Deficiency and Anemia in Children and Adolescents
Low temperature synthesis of high quality BNNTs via argon supported thermal CVD
Low Flow Liquid Calibration Setup dagger
Low skeletal muscle mass associates with low femoral neck strength, especially in older Korean women: the Fourth Korea National Health and Nutrition Examination Survey (KNHANES IV)
Low temperature acetylene gas sensor based on Ag nanoparticles-loaded ZnO-reduced graphene oxide hybrid
Low Wear Rates Seen in THAs With Highly Crosslinked Polyethylene at 9 to 14 Years in Patients Younger Than Age 50 Years
Low temperature synthesis of graphite on Ni films using inductively coupled plasma enhanced CVD
Low Latency Geo-distributed Data Analytics
Low dielectric loss and good thermal stability of Eu and Ti co-doped K0.5Na0.5NbO3 ceramics
Low Fitness in Midlife: A Novel Therapeutic Target for Heart Failure with Preserved Ejection Fraction Prevention
Low Temperature Solid-state Synthesis of Tin Sulfide Hierarchical Architectures and Their Excellent Photocatalytic Activities
Low SVR Rates in Clinical Practice for Treating Genotype 1 Chronic Hepatitis C with Protease Inhibitors Boceprevir and Telaprevir
Low miR-34a and miR-192 are associated with unfavorable prognosis in patients suffering from osteosarcoma
Low mir-372 expression correlates with poor prognosis and tumor metastasis in hepatocellular carcinoma
Low Molecular Weight Heparin (LMWH) Improves Peritoneal Function and Inhibits Peritoneal Fibrosis Possibly through Suppression of HIF-1 alpha, VEGF and TGF-beta 1
Low heart rate variability in unemployed men: The possible mediating effects of life satisfaction
Low Cost Pyranometer for Broad Range and Its Credibility Check with Standard Pyranometer
Low concentration toxic metal mixture interactions: Effects on essential and non-essential metals in brain, liver, and kidneys of mice on sub-chronic exposure
Low temperature sintering of low-loss ZnTiO3 microwave dielectric ceramics with Zn-B-Si glass
Low cancer suspicion following experience of a cancer 'warning sign'
Low temperature, non-stoichiometric oxygen-isotope exchange coupled to Fe(II)-goethite interactions
Low expression of KLF17 is associated with tumor invasion in esophageal carcinoma
Low Relapse Rate Leads to High Concordance of Sustained Virologic Response (SVR) at 12 Weeks With SVR at 24 Weeks After Treatment With ABT-450/Ritonavir, Ombitasvir, and Dasabuvir Plus Ribavirin in Subjects With Chronic Hepatitis C Virus Genotype 1 Infection in the AVIATOR Study
Low Frequency-Noise Random Fiber Laser With Bidirectional SBS and Rayleigh Feedback
Low LKB1 Expression Results in Unfavorable Prognosis in Prostate Cancer Patients
Low expression of PIN gene family members is involved in triggering the dwarfing effect in M9 interstem but not in M9 rootstock apple trees
Low temperature sintering and microwave dielectric properties of BaO-0.6ZnO-2.9TiO(2) ceramics using BaCu(B2O5) addition
Low power Analog Digital Converter for a silicon photomultiplier readout ASIC
Low temperature synthesis of multiwall carbon nanotubes from carbonaceous solid prepared by sol-gel autocombustion
Low wavenumber efficient single-beam coherent anti-Stokes Raman scattering using a spectral hole
Low temperature reduces the energetic requirement for the CO2 concentrating mechanism in diatoms
Low expression of secreted frizzled-related protein 4 in aggressive pituitary adenoma
Low Prevalence of Colon Polyps in Chronic Inflammatory Conditions of the Colon
Low Tidal Volume Ventilation in Patients Without Acute Lung Injury
Low self-esteem and positive beliefs about smoking: A destructive combination for male college students
Low expressions of ARS2 and CASP8AP2 predict relapse and poor prognosis in pediatric acute lymphoblastic leukemia patients treated on China CCLG-ALL 2008 protocol
Low Concentration of Caffeine Inhibits the Progression of the Hepatocellular Carcinoma via Akt Signaling Pathway
Low temperature conditioning prevents loss of aroma-related esters from 'Nanguo' pears during ripening at room temperature
Low Sidelobe Sparse Concentric Ring Arrays Optimization Using Modified GA
Low velocity non-Darcian flow to a well fully penetrating a confined aquifer in the first kind of leaky aquifer system
Low G preconditioning reduces liver injury induced by high plus Gz exposure in rats
Low Serum Levels of Uric Acid are Associated With Development of Poststroke Depression
Low local blood perfusion, high white blood cell and high platelet count are associated with primary tumor growth and lung metastasis in a 4T1 mouse breast cancer metastasis model
Low Population Density of the Endangered Forest Musk Deer, Moschus berezovskii, in China
Low Temperature Vacuum Synthesis of Triangular CoO Nanocrystal/Graphene Nanosheets Composites with Enhanced Lithium Storage Capacity
Low concentrations of chloroquine and 3-methyladenine suppress the viability of retinoblastoma cells synergistically with vincristine independent of autophagy inhibition
Low serum ficolin-3 levels are associated with severity and poor outcome in traumatic brain injury
Low complexity timing estimation and resynchronization for asynchronous bidirectional communications with multiple antenna relay
Low Frequency Noise Characterization and Signal-to-Noise Ratio Optimization for Silicon Hall Cross Sensors
Low Prevalence of Parvovirus 4 in HIV-infected Children in Denmark
Low ohmic-contact resistance in AlGaN/GaN high electron mobility transistors with holes etching in ohmic region
Low frequency of mutations in Chinese with acute myeloid leukemia: Different disease or different aetiology?
Low Expression of Chloride Channel Accessory 1 Predicts a Poor Prognosis in Colorectal Cancer
Low magnitude of tensile stress represses the inflammatory response at intervertebral disc in rats
Low temperature sintering and microwave dielectric properties of LiMgVO4 ceramics
Low expression of CD39 on regulatory T cells as a biomarker for resistance to methotrexate therapy in rheumatoid arthritis
Low RCS Microstrip Patch Antenna Using Frequency-Selective Surface and Microstrip Resonator
Low dose tPA plus annexin A2 combination attenuates tPA delayed treatment-associated hemorrhage and improves recovery in rat embolic focal stroke
Low expression of microRNA-21 contributes to LPS-induced osteoblast cell apoptosis through up-regulation of OAS1
Low temperature sintering properties of LiF-doped BaTiO3-based dielectric ceramics for AC MLCCs
Low nanopore connectivity limits gas production in Barnett formation
Low Maternal Vitamin D Status during the Second Trimester of Pregnancy: A Cross-Sectional Study in Wuxi, China
Low expression of PHLPP1 in sacral chordoma and its association with poor prognosis
Low serum testosterone level was associated with extensive coronary artery calcification in elderly male patients with stable coronary artery disease
Low Power Pulse-Triggered Flip-Flop Based on Clock Triggering Edge Control Technique
Low expression of olfactomedin 4 correlates with poor prognosis in smoking patients with non-small cell lung cancer
Low Serum Amylase is Associated with Gestational Diabetes Mellitus in Chinese Pregnant Women
Low cycle fatigue properties and microstructure evolution at 760 degrees C of a single crystal superalloy
Low Cycle Fatigue Fracture Mechanism of a Modeling Specimen with Cooling Film Hole of DD6 Single Crystal Superalloy
Low density lipoprotein cholesterol control status among Canadians at risk for cardiovascular disease: findings from the Canadian Primary Care Sentinel Surveillance Network Database
Low predation rates on the larvae of three species of barnacles by the ctenophore Pleurobrachia pileus
Low crosstalk Bragg grating/Mach-Zehnder interferometer optical add-drop multiplexer in silicon photonics
Low loss mid-infrared ZBLAN waveguides for future astronomical applications
Low molecular weight species of TDP-43 generated by abnormal splicing form inclusions in amyotrophic lateral sclerosis and result in motor neuron death
Low Interobserver Agreement in Cytology Grading of Mucinous Pancreatic Neoplasms
Low density lipoproteins added to an extender frozen or lyophilized are evenly efficient in cryoprotecting ovine sperm cells than when 16% whole egg yolk was added
Low coherence fiber differentiating interferometer and its passive demodulation schemes
Low cost wind energy conversion system based on the discontinuous conduction mode three-phase semi-controlled rectifier
Low FODMAP diet
Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H-2+95% N-2) plasma
Low Expression of miR-126 Is a Prognostic Marker for Metastatic Clear Cell Renal Cell Carcinoma
Low awareness but positive attitudes toward fecal transplantation in Ontario physicians
Low birthweight, gestational age, need for surgical intervention and gram-negative bacteraemia predict intestinal failure following necrotising enterocolitis
Low levels of IGFBP7 expression in high-grade serous ovarian carcinoma is associated with patient outcome
Low prey abundance leads to less efficient foraging behavior in Steller sea lions
Low reduction potential cytochrome b(5) isotypes of Giardia intestinalis
Low miR-498 expression levels are associated with poor prognosis in ovarian cancer
Low pH anaerobic digestion of waste activated sludge for enhanced phosphorous release
Low levels of vitamin B12 can persist in the early resettlement of refugees: Symptoms, screening and monitoring
Low Fouling Electrospun Scaffolds with Clicked Bioactive Peptides for Specific Cell Attachment
Low metabolic rates in primitive hunters and weaver spiders
Low particulate carbon to nitrogen ratios in marine surface waters of the Arctic
Low expression of microRNA-143 is related to degenerative scoliosis possibly by regulation of cyclooxygenase-2 expression
Low ATM protein expression in malignant tumor as well as cancer-associated stroma are independent prognostic factors in a retrospective study of early-stage hormone-negative breast cancer
Low temperature solventless syntheses of nanocrystalline nickel sulfides with different sulfur sources
Low Complexity Power Optimization Algorithm for Multimedia Transmission Over Wireless Networks
Low contamination of Campylobacter spp. on chicken carcasses in Minas Gerais state, Brazil: Molecular characterization and antimicrobial resistance
Low Impact of Traditional Risk Factors on Carotid Intima-Media Thickness The ELSA-Brasil Cohort
Low space data structures for geometric range mode query
Low consistency refining of mechanical pulp: how plate pattern and refiner operating conditions change the final properties of pulp
Low salinity enhances NI-mediated oxidative stress and sub-lethal toxicity to the green shore crab (Carcinus maenas)
Low genetic diversity and high differentiation among relict populations of the neotropical gymnosperm Podocarpus sellowii (Klotz.) in the Atlantic Forest
Low Expression of miR-491 Promotes Esophageal Cancer Cell Invasion by Targeting TPX2
Low Complexity BICM MIMO OFDM Demodulator
Low levels of astroglial markers in Parkinson's disease: relationship to alpha-synuclein accumulation
Low temperature dynamics of nonlinear Luttinger liquids
Low Osteoporosis Treatment Initiation Rate in Women after Distal Forearm or Proximal Humerus Fracture: A Healthcare Database Nested Cohort Study
Low Shear Stress Induced HMGB1 Translocation and Release via PECAM-1/PARP-1 Pathway to Induce Inflammation Response
Low glucose depletes glycan precursors, reduces site occupancy and galactosylation of a monoclonal antibody in CHO cell culture
Low birth weight is associated with adiposity, impaired skeletal muscle energetics and weight loss resistance in mice
Low Noise, IR-Blind Organohalide Perovskite Photodiodes for Visible Light Detection and Imaging
Low lying zeros of Artin -functions
Low level of genetic divergence between Harpagifer fish species (Perciformes: Notothenioidei) suggests a Quaternary colonization of Patagonia from the Antarctic Peninsula
Low dose pramipexole causes D3 receptor-independent reduction of locomotion and responding for a conditioned reinforcer
Low Temperature Reactive Sputtering of Thin Aluminum Nitride Films on Metallic Nanocomposites
Low redundancy in seed dispersal within an island frugivore community
Low bone mineral density and fractures in stages 3-5 CKD: an updated systematic review and meta-analysis
Low temperature tolerance of a sea urchin pathogen: Implications for benthic community dynamics in a warming ocean
Low purity glycerin supplementation in grazing cattle: bioeconomic analysis
Low Frequency Electromagnetic Field Conditioning Protects against I/R Injury and Contractile Dysfunction in the Isolated Rat Heart
Low level of the X-linked ribosomal protein S4 in human urothelial carcinomas is associated with a poor prognosis
Low concentrations of ethanol protect against synaptotoxicity induced by A beta in hippocampal neurons
Low levels of CD9 coincidental with a novel nonsense mutation in glycoprotein Ib beta in a patient with Bernard-Soulier syndrome
Low Serum Vitamin D Is Associated with Anti-Thyroid-Globulin Antibody in Female Individuals
Low field magneto-resistive anisotropy in polycrystalline Sm0.55Sr0.45MnO3
Low birth weight and large adult waist circumference increase the risk of cardiovascular disease in remote indigenous Australians - An 18 year cohort study
Low trap-state density and long carrier diffusion in organolead trihalide perovskite single crystals
Low humidity is a failed treatment option for chytridiomycosis in the critically endangered southern corroboree frog
Low resting heart rates are associated with new-onset atrial fibrillation in patients with vascular disease: results of the ONTARGET/TRANSCEND studies
Low genetic variability in Sclerotinia sclerotiorum populations from common bean fields in Minas Gerais State, Brazil, at regional, local and micro-scales
Low muscle mass is associated with chemotherapy-induced haematological toxicity in advanced non-small cell lung cancer
Low energy elastic electron scattering from CF3Br molecules
Low vs High Glycemic Index Diet
Low survival rather than breeding success explains little penguin population decline on Granite Island
Low field colossal anisotropic magnetoresistance in spatially confined electronically phase separated La0.3Pr0.4Ca0.3MnO3 microbridges
Low condylectomy as the sole treatment for active condylar hyperplasia: facial, occlusal and skeletal changes. An observational study
Low frequency piezoelectric energy harvesting at multi vibration mode shapes
Low carbohydrate and ketogenic diets in type 2 diabetes
Low frequency ultrasound assisted synthesis of La0.6Sr0.4Co0.2Fe0.8O3 (- delta) (LSCF) perovskite nanostructures
Low impact of chytridiomycosis on frog recruitment enables persistence in refuges despite high adult mortality
Low Intensity TMS Enhances Perception of Visual Stimuli
Low frequency ultrasound assisted sequential and co-precipitation syntheses of nanoporous RE (Gd and Sm) doped cerium oxide
Low sampling rate algorithm for wireless ECG systems based on compressed sensing theory
Low cost carrier competition and route entry in an emerging but regulated aviation market - The case of China
Low levels of hybridization between sympatric Arctic char (Salvelinus alpinus) and Dolly Varden char (Salvelinus malma) highlights their genetic distinctiveness and ecological segregation
Low crude protein diets modulate intestinal responses in weaned pigs challenged with Escherichia coli K88
Low levels organic amendments improve fertility and plant cover on non-acid generating gold mine tailings
Low ensemble disorder in quantum well tube nanowires
Low Quality of Discharge Summaries for Patients With Poorly Controlled Diabetes on a Clinical Teaching Unit
Low temperature H-2 production from ammonia using ruthenium-based catalysts: Synergetic effect of promoter and support
Low incidence of complications in computer assisted total knee arthroplasty - A retrospective review of 1596 cases
Low Dose Focused Ultrasound Induces Enhanced Tumor Accumulation of Natural Killer Cells
Low Use and Adherence to Maintenance Medication in Chronic Obstructive Pulmonary Disease in the General Population
Low expression of chloride channel accessory 1 predicts a poor prognosis in colorectal cancer: The question is still open
Low temperature heat capacity of Na4UO5 and Na4NpO5
Low rate of oropharyngeal human papillomavirus infection of women with cervical lesions and their partners: new data from Brazilian population
Low socioeconomic status and lung function
Low prevalence of peripheral arterial disease in a cross-sectional study of Danish HIV-infected patients
Low velocity impact behaviour of glass fabric/epoxy honeycomb core sandwich composites
Low resistivity p(+) diamond (100) films fabricated by hot-filament chemical vapor deposition
Low Population Selenium Status Is Associated With Increased Prevalence of Thyroid Disease
Low HDL cholesterol but not high LDL cholesterol is independently associated with subclinical coronary atherosclerosis in healthy octogenarians
Low Apparent Stress Observed for M-w <-0.6 Microearthquakes Recorded during Hydraulic-Fracturing Stimulation in the Carthage Cotton Valley Gas Field
Low peak power multiband spokes pulses for B-1(+) inhomogeneity-compensated simultaneous multislice excitation in high field MRI
Low temperature synthesis of various transition metal oxides and their antibacterial activity against multidrug resistance bacterial pathogens
Low DSP complexity mid-haul mode-division multiplexing links utilizing wideband modal dispersion compensated two-mode fibers
Low susceptibility of invasive Indo-Pacific lionfish Pterois volitans to ectoparasitic Neobenedenia in the eastern Caribbean
Low rate of interchromosomal rearrangements during old radiation of gekkotan lizards (Squamata: Gekkota)
Low myocardial glucose uptake in Turner syndrome is unaffected by growth hormone: a randomized, placebo-controlled FDG-PET study
Low dimensional behavior of explosive synchronization on star graphs
Low Affinity Binding Site Clusters Confer Hox Specificity and Regulatory Robustness
Low Speed Crack Propagation via Kink Formation and Advance on the Silicon (110) Cleavage Plane
Low pathogenicity of anti-desmoglein 3 immunoglobulin G autoantibodies contributes to the atypical clinical phenotypes in pemphigus
Low HIP1R mRNA and protein expression are associated with worse survival in diffuse large B-cell lymphoma patients treated with R-CHOP
Low temperature catalytic oxidation of nitric oxide over the Mn-CoOx catalyst modified by nonthermal plasma
Low Pressure-Driven Thin Film Composite Membranes for Cr (VI) Removal Based on Nanofibrous Mats Supported Layer-By-Layer Assembly Coatings
Low Prevalence of netB and tpeL in Historical Clostridium perfringens Isolates from Broiler Farms in Alabama
Low temperature dynamics of the one-dimensional discrete nonlinear Schrodinger equation
Low paleolatitude for the late Cryogenian interglacial succession, South Australia: paleomagnetism of the Angepena Formation, Adelaide Geosyncline
Low temperature structural studies of SrSnO3
Low Levels of Serum Uric Acid Increase the Risk of Low Bone Mineral Density in Young Male Patients with Ankylosing Spondylitis
Low Endogenous Recanalization in Embolic Central Retinal Artery Occlusion-The Retrobulbar "Spot Sign"
Low bone mineral density is associated with intracianial posterior circulation atherosclerosis in women
Low Serum Vitamin D Levels Are Associated With Inferior Survival in Follicular Lymphoma: A Prospective Evaluation in SWOG and LYSA Studies
Low amyloid-beta deposition correlates with high education in cognitively normal older adults: a pilot study
Low temperature characterization of mobility in 14 nm FD-SOI CMOS devices under interface coupling conditions
Low grade waste heat recovery with subcritical and supercritical Organic Rankine Cycle based on natural refrigerants and their binary mixtures
Low rates of iridoid glycoside hydrolysis in two Longitarsus leaf beetles with different feeding specialization confer tolerance to iridoid glycoside containing host plants
Low urinary indoxyl sulfate levels early after transplantation reflect a disrupted microbiome and are associated with poor outcome
Low versus High Fluence Parameters in the Treatment of Facial Laceration Scars with a 1,550 nm Fractional Erbium-Glass Laser
Low levels of plasma omega 3-polyunsaturated fatty acids are associated with cerebral small vessel diseases in acute ischemic stroke patients
Low efficiency IDO2 enzymes are conserved in lower vertebrates, whereas higher efficiency IDO1 enzymes are dispensable
Low temperature bainitic ferrite: Evidence of carbon super-saturation and tetragonality
Low eicosapentaenoic acid to arachidonic acid ratio is associated with thin-cap fibroatheroma determined by optical coherence tomography
Low Loss Single-Mode Porous-Core Kagome Photonic Crystal Fiber for THz Wave Guidance
Low Completeness of Bacteraemia Registration in the Danish National Patient Registry
Low but significant genetic differentiation underlies biologically meaningful phenotypic divergence in a large Atlantic salmon population
Low temperature ionic conductor: ionic liquid incorporated within a metal-organic framework
Low back pain in the paediatric athlete
Low Temperature Nitriding of Commercially Pure Titanium with Harmonic Structure
Low mislabeling rates indicate marked improvements in European seafood market operations
Low evolutionary potential for egg-to-adult viability in Drosophila melanogaster at high temperatures
Low IL-10/TNF alpha Ratio in Patients with Coronary Artery Disease and Reduced Left Ventricular Ejection Fraction with a Poor Prognosis After 10 Years
Low Molecular Weight Heparin Modulates Maternal Immune Response in Pregnant Women and Mice with Thrombophilia
Low frequency mutations independently predict poor treatment-free survival in early stage chronic lymphocytic leukemia and monoclonal B-cell lymphocytosis
Low dietary protein content alleviates motor symptoms in mice with mutant dynactin/dynein-mediated neurodegeneration
Low dosage of rimonabant leads to anxiolytic-like behavior via inhibiting expression levels and G-protein activity of kappa opioid receptors in a cannabinoid receptor independent manner
Low operating bias InAs/GaSb strain layer superlattice LWIR detector
Low cognitive load strengthens distractor interference while high load attenuates when cognitive load and distractor possess similar visual characteristics
Low temperature fabrication of dense gadolinia-doped ceria electrolyte with enhanced electrical conductivity
Low Physical Activity and High Screen Time Can Increase the Risks of Mental Health Problems and Poor Sleep Quality among Chinese College Students
Low Dispositional Mindfulness Predicts Self-medication of Negative Emotion With Prescription Opioids
Low temperature growth of Co2MnSi films on diamond semiconductors by ion-beam assisted sputtering
Low ficolin-2 levels in common variable immunodeficiency patients with bronchiectasis
Low Temperature Solid Oxide Fuel Cells Using LaGaO3-based Oxide Electrolyte on Metal Support
Low temperature combustion over supported Pd catalysts - Strategy for catalyst design
Low temperature operation of a solid-oxide Fe-air rechargeable battery using a La0.9Sr0.1Ga0.8Mg0.2O3 oxide ion conductor
Low frequency paternal transmission of plastid genes in Brassicaceae
Low molecular weight heparin may prevent acute lung injury induced by sepsis in rats
Low durophagous predation on Toarcian (Early Jurassic) ammonoids in the northwestern Panthalassa shelf basin
Low consumption of fruits and dairy foods is associated with metabolic syndrome in Korean adults from outpatient clinics in and near Seoul
Low psychosocial functioning in obsessive compulsive disorder and its clinical implications
Low muscle mass is associated with metabolic syndrome only in nonobese young adults: the Korea National Health and Nutrition Examination Survey 2008-2010
Low self-compassion in patients with bipolar disorder
Low to Moderate Average Alcohol Consumption and Binge Drinking in Early Pregnancy: Effects on Choice Reaction Time and Information Processing Time in Five-Year-Old Children
Low contrast and radiation dose coronary CT angiography using a 320-row system and a refined contrast injection and timing method
Low free testosterone is associated with loss of appendicular muscle mass in Japanese community-dwelling women
Low dose fumaric acid esters are effective in a mouse model of spontaneous chronic encephalomyelitis
Low Mach asymptotic-preserving scheme for the Euler-Korteweg model
Low Risk of Unemployment, Sick Leave, and Work Disability Among Patients with Inflammatory Bowel Disease: A 7-year Follow-up Study of a Danish Inception Cohort
Low Programmed Cell Death 5 Expression is a Prognostic Factor in Ovarian Cancer
Low pH-driven folding of WW45-SARAH domain leads to stabilization of the WW45-Mst2 complex
Low incidence of venous thromboembolism in inflammatory bowel diseases: prevalence and predictors from a population-based inception cohort
Low temperature vapor phase selective oxidation of ethylbenzene over Ce1-xMnxO2 nanocubes
Low temperature hydrodeoxygenation of phenols under ambient hydrogen pressure to form cyclohexanes catalysed by Pt nanoparticles supported on H-ZSM-5
Low Temperature-Induced Circulating Triiodothyronine Accelerates Seasonal Testicular Regression
Low glucose-induced ghrelin secretion is mediated by an ATP-sensitive potassium channel
Low zinc and selenium concentrations in sepsis are associated with oxidative damage and inflammation
Low temperature-induced DNA hypermethylation attenuates expression of RhAG, an AGAMOUS homolog, and increases petal number in rose (Rosa hybrida)
Low Density Polyethylene (LDPE) blends based on Poly(3-Hydroxi-Butyrate) (PHB) and Guar Gum (GG) biodegradable polymers
Low frequency of the lateral thoracic artery originating from the thoracoacromial artery
Low molecular weight silicones particularly facilitate human serum albumin denaturation
Low complements and high titre of anti-Sm antibody as predictors of histopathologically proven silent lupus nephritis without abnormal urinalysis in patients with systemic lupus erythematosus
Low programmed cell death-1 (PD-1) expression in peripheral CD4(+) T cells in Japanese patients with autoimmune type 1 diabetes
Low back pain and patient-reported QOL outcomes in patients with adolescent idiopathic scoliosis without corrective surgery
Low Prevalence of Oral and Nasal Human Papillomavirus in Employees Performing CO2-laser Evaporation of Genital Warts or Loop Electrode Excision Procedure of Cervical Dysplasia
Low versus high radioiodine activity to ablate the thyroid after thyroidectomy for cancer: a meta-analysis of randomized controlled trials (vol 48, pg 96, 2015)
Low Serum Testosterone But Not Obesity Predicts High Gleason Score at Biopsy Diagnosed as Prostate Cancer in Patients with Serum PSA Lower than 20 ng/ml
Low alpha-synuclein levels in the blood are associated with insulin resistance
Low Lymph Node Retrieval After Preoperative Chemoradiation for Rectal Cancer is Associated with Improved Prognosis in Patients with a Good Tumor Response
Low frequency noise of ZnO based metal-semiconductor field-effect transistors
Low Incidence of HIV-1C Acquired Drug Resistance 10 Years after Roll-Out of Antiretroviral Therapy in Ethiopia: A Prospective Cohort Study
Low costs reinforce the mutualism between bats and pitcher plants
Low pulmonary function is related with a high risk of sarcopenia in community-dwelling older adults: the Korea National Health and Nutrition Examination Survey (KNHANES) 2008-2011
Low temperature atomic layer deposited ZnO photo thin film transistors
Low spatial coherence electrically pumped semiconductor laser for speckle-free full-field imaging
Low connectivity between 'scaly-foot gastropod' (Mollusca: Peltospiridae) populations at hydrothermal vents on the Southwest Indian Ridge and the Central Indian Ridge
Low Temperature Synthesis of High Crystalline Spinel Oxides: LiNi1/2Mn3/2O4
Low Genetic Diversity and Strong Geographical Structure of the Critically Endangered White-Headed Langur (Trachypithecus leucocephalus) Inferred from Mitochondrial DNA Control Region Sequences
Low glycemic index and increased protein content in a novel quinoa milk
Low Expression of S100P Is Associated With Poor Prognosis in Patients With Clear Cell Adenocarcinoma of the Ovary
Low Toxicity in Inflammatory Bowel Disease Patients Treated With Abdominal and Pelvic Radiation Therapy
Low Circulating 25-Hydroxyvitamin D Concentrations Are Associated with Defects in Insulin Action and Insulin Secretion in Persons with Prediabetes
Low Profile, Broadside Radiating, Electrically Small Huygens Source Antennas
Low Molybdenum State Induced by Tungsten as a Model of Molybdenum Deficiency in Rats
Low power dissipation SiGe HBT dual-band variable gain amplifier
Low temperature and water dehydration increase the levels of asiaticoside and madecassoside in Centella asiatica (L.) Urban
Low Level of Hepatitis B Virus Screening Among Patients Receiving Chemotherapy
Low Duty Cycle, Energy-Efficient and Mobility-Based Boarder Node-MAC Hybrid Protocol for Wireless Sensor Networks
Low Birefringence Measurement and Temperature Dependence in Meter-Long Optical Fibers
Low temperature heat capacity of alpha-Na2NpO4
Low Serum Levels of MicroRNA-19 Are Associated with a Stricturing Crohn's Disease Phenotype
Low occurrence rates of ubiquitously present leptocephalus larvae in the stomach contents of predatory fish
Low Visceral Fat Content is Associated with Poor Prognosis in a Database of 507 Upper Gastrointestinal Cancers
Low volume shrinkage of polymers by photopolymerization of 1,1-bis(ethoxycarbonyl)-2-vinylcyclopropanes
Low Serum Neutrophil Gelatinase-associated Lipocalin Level as a Marker of Malnutrition in Maintenance Hemodialysis Patients
Low contrast dose protocol involving a 100 kVp tube voltage for hypervascular hepatocellular carcinoma in patients with renal dysfunction
Low temperature rate coefficients of the H + CH+ -> C+ + H-2 reaction: New potential energy surface and time-independent quantum scattering
Low bioaccumulative materials for parahygrophobic nanosheets with sticking behaviour
Low birthrates and high levels of female reproductive inactivity may characterize the reproductive biology of wild Peruvian red uakaris (Cacajao calvus ucayalii)
Low Infiltration of Peritumoral Regulatory T Cells Predicts Worse Outcome Following Resection of Colorectal Liver Metastases
Low Temperature Sensing Properties of Pt Nanoparticle-Functionalized Networked ZnO Nanowires
Low Vitamin D Status Is Associated with Systemic and Gastrointestinal Inflammation in Dogs with a Chronic Enteropathy
Low complexity Bi-Partition mode selection for 3D video depth intra coding
Low energy ion scattering: surface preparation and analysis of Cu(In,Ga)Se-2 for photovoltaic applications
Low serum omentin levels in the elderly population with Type 2 diabetes and polyneuropathy
Low stromal Foxp3(+) regulatory T-cell density is associated with complete response to neoadjuvant chemoradiotherapy in rectal cancer
Low wavelength dependency design for MMI (multi-mode interference) mode converter
Low contact force and force-time integral predict early recovery and dormant conduction revealed by adenosine after pulmonary vein isolation
Low Intensity Repetitive Transcranial Magnetic Stimulation Does Not Induce Cell Survival or Regeneration in a Mouse Optic Nerve Crush Model
Low transferrin saturation is associated with impaired fasting glucose and insulin resistance in the South Korean adults: the 2010 Korean National Health and Nutrition Examination Survey
Low Latency Synchronization Scheme Using Prediction and Avoidance of Synchronization Failure in Heterochronous Clock Domains
Low temperature impact toughness of structural steel welds with different welding processes
Low left atrial appendage flow velocity predicts recurrence of atrial fibrillation after catheter ablation of persistent atrial fibrillation
Low concentrations of doxycycline attenuates FasL-induced apoptosis in HeLa cells
Low Level Light Therapy Modulates Inflammatory Mediators Secreted by Human Annulus Fibrosus Cells during Intervertebral Disc Degeneration In Vitro
Low temperature growth of carbon nanotubes on tetrahedral amorphous carbon using Fe-Cu catalyst
Low temperature growth of europium doped Ga2O3 luminescent films
Low carbon society scenario analysis of transport sector of an emerging economy-The AIM/Enduse modelling approach
Low immunoreactive glycated soybean antigen proteins production: system-wide analysis of their immunogenicity in vitro and in vivo
Low methanol permeable crosslinked sulfonated poly(phenylene oxide) membranes with hollow glass microspheres for direct methanol fuel cells
Low temperature performance of sodium-nickel chloride batteries with NaSICON solid electrolyte
Low velocity impact properties of carbon nanofibers integrated carbon fiber/epoxy hybrid composites manufactured by OOA-VBO process
Low Impedance Carbon Adhesive Electrodes with Long Shelf Life
Low symmetry configurations of vacancy-oxygen complexes in irradiated silicon
Low Frequency Radio Polarization Sensor With Applications in Attitude Estimation
Low costs of allonursing in meerkats: mitigation by behavioral change?
Low Pressure Asymmetric Hydrogenation of Quinolines using an Annulated Planar Chiral N-Ferrocenyl NHC-Iridium Complex
Low immediate postoperative serum-cortisol nadir predicts the short-term, but not long-term, remission after pituitary surgery for Cushing's disease
Low dimensional modeling of a non-uniform, buckled piezoelectric beam for vibrational energy harvesting
Low Dopamine D-2/D-3 Receptor Availability is Associated with Steep Discounting of Delayed Rewards in Methamphetamine Dependence
Low muscle mass and sarcopenia: common and predictive of osteopenia in inflammatory bowel disease
Low edge safety factor operation and passive disruption avoidance in current carrying plasmas by the addition of stellarator rotational transform
Low Plasma Proportion of Omega 3-Polyunsaturated Fatty Acids Predicts Poor Outcome in Acute Non-Cardiogenic Ischemic Stroke Patients
Low wear, high stability - promises of success in a moderately cross-linked cup?
Low vitamin D status associated with dilated cardiomyopathy
Low cost fault-tolerant routing algorithm for Networks-on-Chip
Low Latency Optical Switch for High Performance Computing With Minimized Processor Energy Load
Low Temperature Syntheses and Reactivity of Cu2O2 Active-Site Models
Low hazard small-scale synthesis and chemical analysis of high purity nitroglycerine (NG)
Low oxygen saturation and mortality in an adult cohort: the Tromso study
Low fucose containing bacterial polysaccharide facilitate mitochondria-dependent ROS-induced apoptosis of human lung epithelial carcinoma via controlled regulation of MAPKs-mediated Nrf2/Keap1 homeostasis signaling
Low genetic diversity despite multiple introductions of the invasive plant species Impatiens glandulifera in Europe
Low Barrier Carbon Induced CO Dissociation on Stepped Cu
Low Circulating Levels of Bisphenol-A Induce Cognitive Deficits and Loss of Asymmetric Spine Synapses in Dorsolateral Prefrontal Cortex and Hippocampus of Adult Male Monkeys
Low fouling label-free DNA sensor based on polyethylene glycols decorated with gold nanoparticles for the detection of breast cancer biomarkers
Low efficacy of tobramycin in experimental Staphylococcus aureus endocarditis
Low levels of endogenous or X-ray-induced DNA double-strand breaks activate apoptosis in adult neural stem cells
Low shear stress induces M1 macrophage polarization in murine thin-cap atherosclerotic plaques
Low defect large area semi-polar (11(2)over-bar2) GaN grown on patterned (113) silicon
Low PHLDA3 Expression in Oesophageal Squamous Cell Carcinomas Is Associated with Poor Prognosis
Low current consuming thermally stable sulphide phase change memory
Low Maternal Vitamin B12 Status Is Associated with Lower Cord Blood HDL Cholesterol in White Caucasians Living in the UK
Low temperature synthesis of carbon fibres and metal-filling carbon nanoparticles with laser irradiation into near-critical benzene
Low Power Resistive Oxygen Sensor Based on Sonochemical SrTi0.6Fe0.4O2.8 (STFO40)
Low effectiveness of seasonal influenza vaccine in preventing laboratory-confirmed influenza in primary care in the United Kingdom: 2014/15 mid-season results
Low Doses of Traditional Nanophytomedicines for Clinical Treatment: Manufacturing Processes and Nonlinear Response Patterns
Low temperature thermally stimulated current measurements in N-implanted TlGaSeS layered single crystals
Low Velocity Impact Behaviour of Aramid and UHMWPE Composites
Low pH increases the yield of exosome isolation
Low dose photodynamic-therapy induce immune escape of tumor cells in a HIF-1 alpha, dependent manner through PI3K/Akt pathway
Low Vitamin D Level: Cause or Marker for Perioperative Complications? Response
Low glass transition temperature poly(ionic liquid) prepared from a new quaternary ammonium cationic monomer
Low physical activity and energy dense Malaysian foods are associated with non-alcoholic fatty liver disease in centrally obese but not in non-centrally obese patients with diabetes mellitus
Low contact resistance in epitaxial graphene devices for quantum metrology
Low Dose CT Screening for Lung Cancer: Moving Into the Clinical Arena
Low temperature equilibrium isotope fractionation and isotope exchange kinetics between U(IV) and U(VI)
Low dose radiation induced senescence of human mesenchymal stromal cells and impaired the autophagy process
Low cost production of 3D-printed devices and electrostimulation chambers for the culture of primary neurons
Low rates of recurrence after successful treatment of multidrug-resistant tuberculosis in Tomsk, Russia
Low Temperature Processing to Form Oxidation Insensitive Electrical Contact at Silicon Nanowire/Nanowire Junctions
Low temperature ozone oxidation of solid waste surrogates
Low temperature carbon nanotube and hexagonal diamond deposition with photo-enhanced chemical vapor deposition
Low polarity water, a novel transition species at the polyethylene-water interface
Low Insertion Loss and Highly Sensitive SH-SAW Sensors Based on 36 degrees YX LiTaO3 Through the Incorporation of Filled Microcavities
Low grade glioma in an adult patient with Sotos syndrome
Low Bias Local Intrinsic Dimension Estimation from Expected Simplex Skewness
Low temperature ignition of biomass
Low Complexity CFO Compensation in Uplink OFDMA Systems With Receiver Windowing
Low Carrier Density Epitaxial Graphene Devices On SiC
Low Quality of Life and Depressive Symptoms as an Independent Risk Factor for Erectile Dysfunction in Patients with Obstructive Sleep Apnea
Low incidence of pulmonary aspiration in children allowed intake of clear fluids until called to the operating suite
Low Cardiac Index Is Associated With Incident Dementia and Alzheimer Disease The Framingham Heart Study
Low Seroprevalence of West Nile Virus in Blood Donors from Catalonia, Spain
Low functional status as a predictor of incidence of emotional disorders in the general population
Low Resistance to First and Second Line Anti-Tuberculosis Drugs among Treatment Naive Pulmonary Tuberculosis Patients in Southwestern Uganda
Low irradiance loss quantification in c-Si panels for photovoltaic systems
Low field metabolic rates for geckos of the genus Rhoptropus may not be surprising
Low affinity binding of plasma proteins to lipid-coated quantum dots as observed by in situ fluorescence correlation spectroscopy
Low health literacy and cancer screening among Chinese Americans in California: a cross-sectional analysis
Low temperature molten salt synthesis of Y2Sn2O7 anode material for lithium ion batteries
Low correlation between household carbon monoxide and particulate matter concentrations from biomass-related pollution in three resource-poor settings
Low intensity exercise does not impact cognitive function during exposure to normobaric hypoxia
Low specific absorption rate microstrip patch antenna for cellular phone applications
Low temperature synthesis of diamond-based nano-carbon composite materials with high electron field emission properties
Low temperature efficient interconnecting layer for tandem polymer solar cells
Low levels of Survival Motor Neuron protein are sufficient for normal muscle function in the SMN Delta 7 mouse model of SMA
Low Power Tunneling Current Strain Sensor Using MOS Capacitors
Low Mineral Density of a Weight-Bearing Bone Among Adult Women in a High Fertility Population
Low Power Consumption Complementary Inverters with n-MoS2 and p-WSe2 Dichalcogenide Nanosheets on Glass for Logic and Light-Emitting Diode Circuits
Low temperature solution process-based defect-induced orange-red light emitting diode
Low melting temperature for calcite at 1000 bars on the join CaCO3-H2O - some geological implications
Low Serum Bicarbonate Predicts Residual Renal Function Loss in Peritoneal Dialysis Patients
Low power SRAM design for 14 nm GAA Si-nanowire technology
Low temperature delayed recombination and trap tunneling
Low power 18T pass transistor logic ripple carry adder
Low Prevalence of Isolated Medial Meniscal Tears in Young Females With Stable Knees
Low temperature solution processed ZnO/CuO heterojunction photocatalyst for visible light induced photo-degradation of organic pollutants
Low clouds suppress Arctic air formation and amplify high-latitude continental winter warming
Low among-provenance differences in structural and functional plasticity in response to nutrients in saplings of the circum-Mediterranean tree Arbutus unedo L.
Low Folate and Selenium in the Mouse Maternal Diet Alters Liver Gene Expression Patterns in the Offspring after Weaning
Low bird diversity in the Fynbos plant diversity hotspot: Quaternary legacies in the current distributions of passerine birds
Low hygroscopic scattering enhancement of boreal aerosol and the implications for a columnar optical closure study
Low nutrient and high chlorophyll a coastal upwelling system - A case study in the southern Taiwan Strait
Low Variability Resistor-Memristor Circuit Masking the Actual Memristor States
Low SAR Microstrip Patch Antenna for Mobile Phone
Low and moderate prenatal ethanol exposures of mice during gastrulation or neurulation delays neurobehavioral development
Low brain oxygenation and differences in neuropsychological outcomes following severe pediatric TBI
Low shear rheological behaviour of two-phase mesophase pitch
Low frequency dynamics of the nitrogenase MoFe protein via femtosecond pump probe spectroscopy - Observation of a candidate promoting vibration
Low Temperature Synthesis and Characterization of AlScMo3O12
Low and moderate dose gamma-irradiation and annealing impact on electronic and electrical properties of AlGaN/GaN high electron mobility transistors
Low Skeletal Bone Mineral Density Does Not Affect Dental Implants
Low voltage blue phase liquid crystal for spatial light modulators
Low expression level of glnA1 accounts for absence of cell wall associated poly-L-glutamate/glutamine in Mycobacterium smegmatis
Low efficacy and high mortality associated with clofarabine treatment of relapsed/refractory acute myeloid leukemia and myelodysplastic syndromes
Low concentrations of the toxin ophiobolin A lead to an arrest of the cell cycle and alter the intracellular partitioning of glutathione between the nuclei and cytoplasm
Low blood levels of sTWEAK are related to locoregional failure in head and neck cancer
Low body mass index is associated with increased waitlist mortality among children listed for heart transplant
Low Resting Heart Rate as an Unequivocal Risk Factor for Both the Perpetration of and Exposure to Violence
Low doses of haloperidol combined with ondansetron are not effective for prophylaxis of postoperative nausea and vomiting in susceptible patients
Low dialysate potassium and central arterial pressure waveform
Low MHC variation in isolated island populations of the Natterjack toad (Bufo calamita)
Low rate loading-induced convection enhances net transport into the intervertebral disc in vivo
Low expression of activation marker CD69 and chemokine receptors CCR5 and CXCR3 on memory T cells after 2009 H1N1 influenza A antigen stimulation in vitro following H1N1 vaccination of HIV-infected individuals
Low systolic blood pressure and high resting heart rate as predictors of outcome in patients with peripartum cardiomyopathy
Low penetrance in facioscapulohumeral muscular dystrophy type 1 with large pathological D4Z4 alleles: a cross-sectional multicenter study
Low socioeconomic status of a patient's residential area is associated with worse prognosis after acute myocardial infarction in Sweden
Low clinical relevance of a prevalent vertebral fracture in elderly men-the MrOs Sweden study
Low Levels of IgM Antibodies against an Advanced Glycation Endproduct-Modified Apolipoprotein B100 Peptide Predict Cardiovascular Events in Nondiabetic Subjects
Low forced expiratory volume is associated with earlier death in sickle cell anemia
Low Levels of Polymorphisms and No Evidence for Diversifying Selection on the Plasmodium knowlesi Apical Membrane Antigen 1 Gene
Low frequency noise characteristics in multilayer WSe2 field effect transistor
Low intake of calcium and vitamin D, but not zinc, iron or vitamin A, is associated with stunting in 2-to 5-year-old children
Low Health Literacy Predicts Misperceptions of Diabetes Control in Patients With Persistently Elevated A1C
Low statin use in adults hospitalized with acute coronary syndrome
Low plasma CC16 levels in smokers are associated with a higher risk for chronic bronchitis
Low FOXA1 expression predicts good response to neo-adjuvant chemotherapy resulting in good outcomes for luminal HER2-negative breast cancer cases
Low Left Atrial Compliance Contributes to the Clinical Recurrence of Atrial Fibrillation after Catheter Ablation in Patients with Structurally and Functionally Normal Heart
Low Vitamin D Level and Impact on Severity and Recurrence of Clostridium difficile Infections
Low power sub-threshold asynchronous quasi-delay-insensitive 32-bit arithmetic and logic unit based on autonomous signal-validity half-buffer
Low Back and Lower-Limb Muscle Performance in Male and Female Recreational Runners With Chronic Low Back Pain
Low complexity and efficient dynamic spectrum learning and tunable bandwidth access for heterogeneous decentralized cognitive radio networks (vol 37, pg 13, 2015)
Low complexity and efficient dynamic spectrum learning and tunable bandwidth access for heterogeneous decentralized cognitive radio networks
Low heel ultrasound parameters predict mortality in men: results from the European Male Ageing Study (EMAS)
Low levels of methyl beta-cyclodextrin disrupt GluA1-dependent synaptic potentiation but not synaptic depression
Low demanding parental feeding style is associated with low consumption of whole grains among children of recent immigrants
Low feeding preference of native herbivores for the successful non-native seaweed Heterosiphonia japonica
Low reproductive isolation and highly variable levels of gene flow reveal limited progress towards speciation between European river and brook lampreys
Low energy CID and action IRMPD provide insights into a minor subpopulation of the gas-phase conformers of triply charged bradykinin
Low temperature and cost-effective growth of vertically aligned carbon nanofibers using spin-coated polymer-stabilized palladium nanocatalysts
Low conversion loss 94 GHz CMOS resistive mixer
Low Elastin Content of Carotid Plaques Is Associated with Increased Risk of Ipsilateral Stroke
Low density polyethylene (LDPE) passive samplers for the investigation of polychlorinated biphenyl (PCB) point sources in rivers
Low Contribution of PbO2-Coated Lead Service Lines to Water Lead Contamination at the Tap
Low temperature phase transition and crystal structure of CsMgPO4
Low Power Analog and Digital (7,5) Convolutional Decoders in 65 nm CMOS
Low levels of IgG autoantibodies against the apolipoprotein B antigen p210 increases the risk of cardiovascular death after carotid endarterectomy
Low temperature nanocrystalline silicon nitride film grown on silicon (111) by radio frequency sputtering system
Low birth rates and reproductive skew limit the viability of Europe's captive eastern black rhinoceros, Diceros bicornis michaeli
Low Sugar Is Not Always Good: Impact of Specific O-Glycan Defects on Tip Growth in Arabidopsis
Low Levels of Energy Expenditure in Childhood Cancer Survivors: Implications for Obesity Prevention
Low Energy Signal Processing Techniques for Reliability Improvement of High-Density NAND Flash Memory
Low Phase-Noise Autonomous Parametric Oscillator Based on a 226.7 MHz AlN Contour-Mode Resonator
Low ultraviolet-B exposure may explain some of the link between night shift work and increased risk of prostate cancer Response
Low tube voltage computed tomography urography using low-concentration contrast media: Comparison of image quality in conventional computed tomography urography
Low temperature delayed recombination decay in scintillating garnets
Low Physical Function in Maintenance Hemodialysis Patients Is Independent of Muscle Mass and Comorbidity
Low HbA1c and Increased Mortality Risk-is Frailty a Confounding Factor?
Low Temperature Photoluminescence Kinetics of Double-Ring Structured GaAs Quantum Dots
Low 25-Hydroxyvitamin D Concentrations Predict Incident Depression in Well-Functioning Older Adults: The Health, Aging, and Body Composition Study
Low field domain wall dynamics in artificial spin-ice basis structure
Low aspect ratio micropores for single-particle and single-cell analysis
Low Prevalence of CHEK2 Gene Mutations in Multiethnic Cohorts of Breast Cancer Patients in Malaysia
Low k-dielectric benzocyclobutane encapsulated AlGaN/GaN HEMTs with Improved off-state breakdown voltage
Low Adherence to Immunosuppressants Is Associated With Symptom Experience Among Kidney Transplant Recipients
Low blood lymphocyte count at 30 days post transplant predicts worse acute GVHD and survival but not relapse in a large retrospective cohort
Low E Modulus Early Strength Engineered Cementitious Composites Material Development for Ultrathin Whitetopping Overlay
Low temperature and deformation-free bonding of PMMA microfluidic devices with stable hydrophilicity via oxygen plasma treatment and PVA coating
Low CO2 permeability of cholesterol-containing liposomes detected by stopped-flow fluorescence spectroscopy
Low temperature magnetic and anomalous high temperature dielectric response of Dy-Ni co-doped hexagonal YMnO3 ceramics
Low Thiamine Levels in Children With Type 1 Diabetes and Diabetic Ketoacidosis: A Pilot Study
Low occurrence of WSSV in Penaeus monodon nauplii and post-larvae produced from PCR-negative broodstocks
Low temperature magnetic properties and spin dynamics in single crystals of Cr8Zn antiferromagnetic molecular rings
Low processivity for DNA translocation by the ISWI molecular motor
Low absorption chlorinated liquid crystals for infrared applications
Low voltage polymer network liquid crystal for infrared spatial light modulators
Low pressure solubilities of CO2 in guanidinium trifluoromethanesulfonate-MDEA systems
Low dose 5-aminolevulinic acid: Implications in spectroscopic measurements during brain tumor surgery
Low risk of seroconversion or clinical disease in humans after a bite by an Anaplasma phagocytophilum-infected tick
Low Molecular Weight Chitosan-Insulin Polyelectrolyte Complex: Characterization and Stability Studies
Low relative error in consumer-grade GPS units make themideal for measuring small-scale animal movement patterns
Low firing temperature zinc molybdate ceramics for dielectric and insulation applications
Low Dialysate Potassium Concentration: An Overrated Risk Factor for Cardiac Arrhythmia?
Low operation voltage of GaN-based LEDs with Al-doped ZnO upper contact directly on p-type GaN without insert layer
Low Serum Vitamin D Levels Are Associated With Increased Arterial Stiffness in Youth With Type 2 Diabetes
Low flow regime measurements with an automatic pulse tracer velocimeter (APTV) in heterogeneous aquatic environments
Low RBM3 Protein Expression Correlates with Clinical Stage, Prognostic Classification and Increased Risk of Treatment Failure in Testicular Non-Seminomatous Germ Cell Cancer
Low expression of miRNA-224 predicts poor clinical outcome in diffuse large B-cell lymphoma treated with R-CHOP
Low temperature deposition of silicon nitride using Si3Cl8
Low profile radial nerve palsy orthosis with radial and ulnar deviation
Low power complementary metal-oxide semiconductor class-G audio amplifier with gradual power supply switching
Low effective fault strength due to frictional-viscous flow in phyllonites, Karakoram Fault Zone, NW India
Low below-ground organic carbon storage in a subarctic Alpine permafrost environment
Low voltage two-state-variable memristor model of vacancy-drift resistive switches
Low Dose Loxapine: Neuromotor Side Effects and Tolerability in Autism Spectrum Disorders
Low energy scattering cross section ratios of N-14(p, p)N-14
Low vitamin D levels have become less common in primary hyperparathyroidism
Low Mitochondrial DNA Diversity in an Ancient Population from China: Insight into Social Organization at the Fujia Site
Low attention impairs optimal incorporation of prior knowledge in perceptual decisions
Low Socioeconomic Status is an Independent Risk Factor for Survival After Abdominal Aortic Aneurysm Repair and Open Surgery for Peripheral Artery Disease
Low Frequency of Drug-Resistant Variants Selected by Long-Acting Rilpivirine in Macaques Infected with Simian Immunodeficiency Virus Containing HIV-1 Reverse Transcriptase
Low levels of C-peptide have clinical significance for established Type 1 diabetes
Low temperature dry reforming of methane over Pt-Ni-Mg/ceria-zirconia catalysts
Low long non-coding RNA HOTAIR expression is associated with down-regulation of Nrf2 in the spermatozoa of patients with asthenozoospermia or oligoasthenozoospermia
Low serum levels of CCL5 are associated with longer duration of viral shedding in norovirus infection
Low concentrations of serum testosterone predict acute myocardial infarction in men with type 2 diabetes mellitus
Low vs High Glycemic Index Diet Reply
Low toric soft contact lens acceptance study
Low dose rate brachytherapy for the treatment of brain metastases Response
Low activation energies for interstitial oxygen conduction in the layered perovskites La1+xSr1-xInO4+delta
Low levels of physical activity are associated with dysregulation of energy intake and fat mass gain over 1 year
Low correlation between self-report and medical record documentation of urinary tract infection symptoms
Low efficacy of delthamethrin-treated net against Singapore Aedes aegypti is associated with kdr-type resistance
Low temperature, rapid solution growth of antifouling silver-zeolite nanocomposite clusters
Low Usefulness of Potassium Monitoring Among Healthy Young Women Taking Spironolactone for Acne
Low pH affects survival, growth, size distribution, and carapace quality of the postlarvae and early juveniles of the freshwater prawn Macrobrachium rosenbergii de Man
Low vitamin D status among pregnant Latin American and Caribbean women with HIV Infection
Low Levels of a Urinary Biomarker of Dietary Polyphenol Are Associated with Substantial Cognitive Decline over a 3-Year Period in Older Adults: The Invecchiare in Chianti Study
Low female birth weight and advanced maternal age programme alterations in next-generation blastocyst development
Low Oxidation State and Enhanced Magnetic Properties Induced by Raspberry Shaped Nanostructures of Iron Oxide
Low temperature behavior of hyperfine fields in amorphous and nanocrystalline FeMoCuB
Low Doses of CPS49 and Flavopiridol Combination as Potential Treatment for Advanced Prostate Cancer
Low power optical limiting studies on nanocrystalline benzimidazole thin films prepared by modified liquid phase growth technique
Low energy secondary cosmic ray flux (gamma rays) monitoring and its constrains
Low numbers of tryptase(+) and chymase(+) mast cells associated with reduced survival and advanced tumor stage in melanoma
Low back pain: when and what to do
Low Birth Weight and Maternal and Neonatal Deaths are Complications of Systemic Lupus Erythematosus in Pregnant Pristane Induced Lupus Mice
Low cross-neutralization of hepatitis C correlates with liver disease in immunocompromized patients
Low density solvent based dispersive liquid-liquid microextraction followed by vortex-assisted magnetic nanoparticle based solid-phase extraction and surfactant enhanced spectrofluorimetric detection for the determination of aflatoxins in pistachio nuts
Low temperature magnetic and transport properties of LSMO-PZT nanocomposites
Low hemoglobin levels are associated with lower cerebral saturations and poor outcome after cardiac arrest
Low levels of maximal aerobic power impair the profile of mood state in individuals with temporal lobe epilepsy
Low temperatures on chlorophyll a fluorescence in plants of different canola hybrids
Low Level Laser Therapy Reduces the Development of Lung Inflammation Induced by Formaldehyde Exposure
Low non-relapse mortality and long-term preserved quality of life in older patients undergoing matched related donor allogeneic stem cell transplantation: a prospective multicenter phase II trial
Low Mach number limit of the compressible Hall-magnetohydrodynamic system
Low friction and fault weakening revealed by rising sensitivity of tremor to tidal stress
Low Serum Levels of ABCA1, an ATP-Binding Cassette Transporter, Are Predictive of Preeclampsia
Low computational burden grid voltage estimation for grid connected voltage source converter-based power applications
Low Temperature Preparation of Cadmium Sulfide Thin Films for Solar Cell Application
Low temperature transport property of the InSb and InAsSb quantum wells with Al0.1In0.9Sb barrier layers grown by MBE
Low Serum Potassium Levels Increase the Infectious-Caused Mortality in Peritoneal Dialysis Patients: A Propensity-Matched Score Study
Low Complexity V-BLAST MIMO-OFDM Detector by Successive Iterations Reduction
Low temperature study of mechanically alloyed Fe67.5Ni32.5 Invar sample
Low marginal zone-like B lymphocytes and natural antibodies characterize skewed B-lymphocyte subpopulations in del22q11 DiGeorge patients
Low Space Harmonics Cancelation in Double-Layer Fractional Slot Winding Using Dual Multiphase Winding
Low temperature affects cattle tick reproduction but does not lead to transovarial transmission of Anaplasma marginale
Low DICER1 expression is associated with poor clinical outcome in adrenocortical carcinoma
Low Level Engraftment and Improvement following a Single Colonoscopic Administration of Fecal Microbiota to Patients with Ulcerative Colitis
Low Cerebral Glucose Metabolism: A Potential Predictor for the Severity of Vascular Parkinsonism and Parkinson's Disease
Low temperature oxygen diffusion mechanisms in Nd2NiO4+delta and Pr2NiO4+delta via large anharmonic displacements, explored by single crystal neutron diffraction
Low zinc environment induces stress signaling, senescence and mixed cell death modalities in colon cancer cells
Low Frequencies of Autoimmunity-Associated PTPN22 Polymorphisms in MODY Patients, Including Those Transiently Expressing Islet Cell Autoantibodies
Low Temperature Synthesis and Surface Plasmon Resonance of Colloidal Lanthanum Hexaboride (LaB6) Nanocrystals
Low complexity trellis representations of convolutional codes via sectionalization of the minimal trellis
Low incidence of alpha-1-antitrypsin deficiency in Iranian patients with neonatal cholestasis
Low compression ratio diesel engines fueled with biodiesel by using spark-induced compression ignition
Low contemporary effective population size detected in the Critically Endangered giant sea bass, Stereolepis gigas, due to fisheries overexploitation
Low temperature fabrication of high performance ZnO thin film transistors with high-k dielectrics
Low bone mass in human immunodeficiency virus-infected climacteric women receiving antiretroviral therapy: prevalence and associated factors
Low power optical limiting and thermal lensing in Mn doped ZnO nanoparticles
Low Fetal Weight is Directly Caused by Sequestration of Parasites and Indirectly by IL-17 and IL-10 Imbalance in the Placenta of Pregnant Mice with Malaria
Low level of selenium increases the efficacy of 24-epibrassinolide through altered physiological and biochemical traits of Brassica juncea plants
Low temperature Au induced crystallization of titanium dioxide thin films for resistive switching applications
Low back pain patients' beliefs about effective/ineffective constituents of a counseling intervention: a follow-up interview study
Low bandgap copolymers based on monofluorinated isoindigo towards efficient polymer solar cells
Low Temperature Combustion Synthesis of TiC Powder Induced by PTFE
Low Levels of Mitochondrial DNA and Symbiont Diversity in the Worldwide Agricultural Pest, the Greenhouse Whitefly Trialeurodes vaporariorum (Hemiptera: Aleyrodidae)
Low dose triterpene-quinone fraction from Ardisia crispa root precludes chemical-induced mouse skin tumor promotion
Low eosinophils during bronchiolitis in infancy are associated with lower risk of adulthood asthma
Low temperature hydrothermal synthesis and characterization of iron oxide powders of diverse morphologies from spent pickle liquor
Low Treatment Adherence in Pubertal Children Treated with Thyroxin or Growth Hormone
Low cost fabrication of tandem dye-sensitized solar cells
Low numbers of blood and salivary natural killer cells are associated with a better response to belimumab in primary Sjogren's syndrome: results of the BELISS study
Low energy electrons and swift ion track structure in PADC
Low energy highly charged ion beam facility at Inter University Accelerator Centre: Measurement of the plasma potential and ion energy distributions
Low Serum Hepcidin in Patients with Autoimmune Liver Diseases
Low power optical limiting studies of copper doped lithium tetraborate nanoparticles
Low power Electro-optical filter: Constructed using silicon nanobeam resonator and PIN junction
Low blood pressure during the acute period of ischemic stroke is associated with decreased survival
Low temperature, shape-selective formation of Sb2Te3 nanomaterials and their thermoelectric applications
Low health literacy in older women: The influence of patient-clinician relationships
Low reflectance of nano-patterned Pt-Cu-Ni-P bulk metallic glass
Low titer of antibody against Toxoplasma gondii may be related to resistant to cancer
Low Noncytotoxic Concentrations of 5-Fluorouracil Have No Adverse Effects on Maturation and Function of Bone Marrow-Derived Dendritic Cells in vitro: A Potentially Safe Adjuvant for Dendritic Cell-Based Cancer Therapy
Low immunogenicity of quadrivalent meningococcal vaccines in solid organ transplant recipients
Low response rate after cetuximab combined with conventional chemoradiotherapy in patients with locally advanced anal cancer: Long-term results of the UNICANCER ACCORD 16 phase II trial
Low temperature activation of Au/Ti getter film for application to wafer-level vacuum packaging
Low Capacity Bladder: Dugs and/or Toilet Training
Low prevalence of Clostridium septicum fecal carriage in an adult population
Low algal diversity systems are a promising method for biodiesel production in wastewater fed open reactors
Low cost whole-organism screening of compounds for anthelmintic activity
Low Turn-on voltage dual metal AlGaN/GaN Schottky barrier diode
Low expression levels of hepsin and TMPRSS3 are associated with poor breast cancer survival
Low cost audiovisual playback and recording triggered by radio frequency identification using Raspberry Pi
Low Temperature Colloidal Synthesis of Silicon Nanorods from Isotetrasilane, Neopentasilane, and Cyclohexasilane
Low PREVALENCE OF THE AMPHIBIAN PATHOGEN BATRACHOCHYTRIUM DENDROBATIDIS IN THE SOUTHERN APPALACHIAN MOUNTAINS
Low immunogenicity in non-small cell lung cancer; do new developments and novel treatments have a role?
Low dose ribavirin has been effective in the treatment of incapacitating muco-cutaneous extrahepatic manifestations in patients with hepatitis C with contraindication or no access for approved antiviral treatment
Low Expression of D2R and Wntless Correlates With High Motivation for Heroin
Low adherence to cervical cancer screening after subtotal hysterectomy
Low temperature supercritical water gasification of biomass constituents: Glucose/phenol mixtures
Low frequency radio observations of bi-directional electron beams in the solar corona
Low plasma eicosapentaenoic acid concentration as a possible risk factor for intracerebral hemorrhage
Low temperature calcium hydroxide treatment enhances anaerobic methane production from (extruded) biomass
Low carbon supply chain configuration for a new product - a goal programming approach
Low temperature and controlled synthesis of Bi-2(S1-xSex)(3) thin films using a simple chemical route: effect of bath composition
Low Dose, Low Cost Estradiol Pellets Can Support MCF-7 Tumour Growth in Nude Mice without Bladder Symptoms
Low Carbohydrate Rather Than Low Fat Is More Important in Dietary Management of Nonalcoholic Steatohepatitis
Low f-Number Microlenses for Integration on Optical Microsystems
Low frequency of TERT promoter mutations in gastrointestinal stromal tumors (GISTs)
Low frequency baleen whale calls detected on ocean-bottom seismometers in the Lau basin, southwest Pacific Ocean
Low temperature temporal and spatial atomic layer deposition of TiO2 films
Low serum level of 1,25(OH)(2)D is associated with chronic periodontitis
Low seed pressure and competition from resident vegetation restricts dry grassland specialists to edges of abandoned fields
Low X-ray luminosity galaxy clusters - III. Weak lensing mass determination at 0.18 %26lt; z %26lt; 0.70
Low Temperature Atomic Layer Deposition of Crystalline In2O3 Films
Low Temperature and Modified Atmosphere: Hurdles for Antibiotic Resistance Transfer?
Low and high density InAs nanowires on Si(001) and their Raman imaging (vol 28, 015025, 2013)
Low temperature structural transitions in dipolar hard spheres: The influence on magnetic properties
Low Power Sensor Design for IoT and Mobile Healthcare Applications
Low Cost Super-Nyquist Asynchronous Demodulation for Use in EM Tracking Systems
Low Frequency of EGFR Mutations in Pleural Mesothelioma Patients, Cologne, Germany
Low concentrations of cylindrospermopsin induce increases of reactive oxygen species levels, metabolism and proliferation in human hepatoma cells (HepG2)
Low productivity of Chinook salmon strongly correlates with high summer stream discharge in two Alaskan rivers in the Yukon drainage
Low Cycle Fatigue Evaluation of Pipe Bends With Local Wall Thinning Considering Multi-Axial Stress State
Low temperature magnetoresistance and magnetization studies of iron encapsulated multiwall carbon nanotube/polyvinyl chloride composites
Low Glutathione Peroxidase Activity Levels in Patients with Vitiligo
Low miR-143/miR-145 Cluster Levels Induce Activin A Overexpression in Oral Squamous Cell Carcinomas, Which Contributes to Poor Prognosis
Low liquid-solid ratio fractionation of sugarcane bagasse by hot water autohydrolysis and organosolv delignification
Low Energy yet Reliable Data Communication Scheme for Network-on-Chip
Low Temperature Synthesis of Luminescent RE2O3:Eu3+ Nanomaterials Using Trimellitic Acid Precursors
Low Temperature Cu-Cu Bonding Using Ag Nanostructure for 3D Integration
Low budget and high fidelity relaxed 567-remeshing
Low platelet count reduces subsequent complete remission rate despite marrow with < 5% blasts after AML induction therapy
Low consumption and high frequency GaN-based gate driver circuit with integrated PWM
Low steady-state stresses in the cold lithospheric mantle inferred from dislocation dynamics models of dislocation creep in olivine
Low Pressure CVD of Electrochromic WO3 at 400 degrees C
Low WSS Induces Intimal Thickening, while Large WSS Variation and Inflammation Induce Medial Thinning, in an Animal Model of Atherosclerosis
Low flow frequency analysis for stream with mixed populations
Low Dose Naltrexone for Treatment of Multiple Sclerosis A Retrospective Chart Review of Safety and Tolerability
Low bone mineral density and vitamin D deficiency in patients with benign positional paroxysmal vertigo
Low insertion loss, high power handling and good performance 90(o) phase shifter for X-band radar application
Low Intensity Physical Exercise Attenuates Cardiac Remodeling and Myocardial Oxidative Stress and Dysfunction in Diabetic Rats
Low levels of taurine introgression in the current Brazilian Nelore and Gir indicine cattle populations
Low doses of haloxyfop-methyl in black oat
Low temperature magnetoresistance of InSb whiskers
Low voltage ride through of doubly-fed induction generator connected to the grid using sliding mode control strategy
Low risk of coronary artery disease in patients with acromegaly
Low Frequency of Cardiomyopathy Using Cardiac Magnetic Resonance Imaging in an Acromegaly Contemporary Cohort
Low effect of young afforestations on bird communities inhabiting heterogeneous Mediterranean cropland
Low loss Ge-As-Se chalcogenide glass fiber, fabricated using extruded preform, for mid-infrared photonics
Low doses of gamma radiation in the management of postharvest Lasiodiplodia theobromae in mangos
Low power laser stimulation of the bone consolidation in tibial fractures of rats: a radiologic and histopathological analysis
Low Mach number fluctuating hydrodynamics of multispecies liquid mixtures
Low body condition predisposes cattle to lameness: An 8-year study of one dairy herd
Low Temperature, Rapid Thermal Cycle Annealing of HgCdTe Grown on CdTe/Si
Low temperature synthesized carbon nanotube superstructures with superior CO2 and hydrogen storage capacity
Low testosterone is associated with an increased risk of atherosclerosis
Low and atmospheric plasma polymerisation of nanocoatings for bio-applications
Low temperature chemical synthesis and comparative studies of silver oxide nanoparticles
Low Angle Normal Fault (LANF)-zone architecture and permeability features in bedded carbonate from inner Northern Apennines (Rapolano Terme, Central Italy)
Low temperature FMR investigations on double surfactant water based ferrofluid
Low wavenumber Raman spectroscopy of highly crystalline MoSe2 grown by chemical vapor deposition
Low intensity microwave radiation induced oxidative stress, inflammatory response and DNA damage in rat brain
Low vaccination coverage among italian healthcare workers in 2013 Contributing to the voluntary vs. mandatory vaccination debate
Low inappropriate shock rates in patients with single- and dual/triple-chamber implantable cardioverter-defibrillators using a novel suite of detection algorithms: Pain Free SST trial primary results
Low Temperature Plasma Irradiation of a PNiPAAm-Grafted O-2 Enrichment Membrane and Its Effect on Cell Proliferation
Low Grade Metamorphism in the Lalsot-Bayana Sub-basin of the North Delhi Fold Belt and its Tectonic Implication
Low cost porous alumina with tailored gas permeability and mechanical properties prepared using rice husk and sucrose for filter applications
Low incidence of MYC/BCL2 double-hit in Burkitt lymphoma
Low educational level but not low income impairs the achievement of cytogenetic remission in chronic myeloid leukemia patients treated with imatinib in Brazil
Low complexity and disordered regions of proteins have different structural and amino acid preferences
Low bandgap mid-infrared thermophotovoltaic arrays based on InAs
Low acid leaching PEM for fuel cell based on polybenzimidazole nanocomposites with protic ionic liquid modified silica
Low temperature in situ Raman spectroscopy of an electro-generated arylbis(arylthio)sulfonium ion
Low frequency of PAX8-PPAR gamma rearrangement in follicular thyroid carcinomas in Japanese patients
Low kV settings CT angiography (CTA) with low dose contrast medium volume protocol in the assessment of thoracic and abdominal aorta disease: a feasibility study
Low birthweight or rapid catch-up growth: which is more associated with cardiovascular disease and its risk factors in later life? A systematic review and cryptanalysis
Low temperature phase barium borate: A new optical limiter in continuous wave and nano pulsed regime
Low prevalence of blood parasites in a long-distance migratory raptor: the importance of host habitat
Low vitamin D is associated with hypertension in paediatric obesity
Low temperature carving of ZnO nanorods into nanotubes for dye-sensitized solar cell application
Low Power Motion Estimation Design Based on Non-Uniform Pixel Truncation
Low brain ascorbic acid increases susceptibility to seizures in mouse models of decreased brain ascorbic acid transport and Alzheimer's disease
Low temperature synthesis and optical properties of the R2O3:Eu3+ nanophosphors (R3+: Y, Gd and Lu) using TMA complexes as precursors
Low yield of chemical shift MRI for characterization of adrenal lesions with high attenuation density on unenhanced CT
Low voltage ride-through control strategy of doubly fed wind turbine based on electromagnetic transient control
Low cost BIST scheme using LFSR-RC reseeding
Low complexity PTS scheme for PAPR reduction of OFDM signals
Low Heterozygosity at Microsatellite Markers in Iberian Red Deer with Small Antlers
Low and high temperature bromination of 2,3-dicarbomethoxy and 2,3-dicyano benzobarrelene: unexpected substituent effect on bromination
Low cost production of computer-generated holograms: from design to optical evaluation
Low concentrations of nitric oxide delay the differentiation of embryonic stem cells and promote their survival
Low levels of serotonin in serum correlates with severity of fibromyalgia
Low overpotential reduction of dinitrogen to ammonia in aqueous media
Low and high frequency approximations to eigenvibrations of string with double contrasts
Low morale is associated with increased risk of mortality in the elderly: a population-based prospective study (NEDICES)
Low lymphocyte count in acute phase of ST-segment elevation myocardial infarction predicts long-term recurrent myocardial infarction
Low incidence of clinically apparent thromboembolism in Korean patients with multiple myeloma treated with thalidomide
Low Phase Noise Differential Vackar VCO in 0.18 mu m CMOS Technology
Low dose mercury and heart rate variability among community residents nearby to an industrial complex in Korea
Low Resistivity ITO Thin Films Deposited by NCD Technique at Low Temperature: Variation of Tin Concentration
Low coordinate lanthanide(II) complexes supported by bulky guanidinato and amidinato ligands
Low manganese (Mn) and copper (Cu) concentrations in cereals explained yield losses after lime application to soil
Low back pain and physiotherapy use of red flags: the evidence from Scotland
Low energy lamps and eye lens autofluorescence
Low Temperature Aluminum Oxide Gate Dielectric on Plastic Film for Flexible Device Application
Low dynamin 2 expression is associated with tumor invasion and metastasis in invasive squamous cell carcinoma of cervix
Low doses of ionizing radiation suppress doxorubicin-induced senescence-like phenotypes by activation of ERK1/2 and suppression of p38 kinase in MCF7 human breast cancer cells
Low Temperature Growth of Single-walled Carbon Nanotube Forest
Low Resistance Indium-based Ohmic Contacts to N-face n-GaN for GaN-based Vertical Light Emitting Diodes
Low Sintering Temperature and Electrical Performance of Nanoparticle Copper Ink for Use in Ink-Jet Printing
Low Glucose Uncouples Hexokinase1-Dependent Sugar Signaling from Stress and Defense Hormone Abscisic Acid and C2H4 Responses in Arabidopsis
Low incidence of severe respiratory syncytial virus infections in lung transplant recipients despite the absence of specific therapy
Low Pulse Pressure as a Predictor of Death in Patients with Mild to Advanced Heart Failure
Low voltage low power CMOS current differencing buffered amplifier
Low Levels of Pepsinogen I and Pepsinogen I/II Ratio are Valuable Serologic Markers for Predicting Extensive Gastric Corpus Atrophy in Patients Undergoing Endoscopic Mucosectomy
Low temperature deposition of transparent conducting ITO/Au/ITO films by reactive magnetron sputtering
Low Doses of the Carcinogen Furan Alter Cell Cycle and Apoptosis Gene Expression in Rat Liver Independent of DNA Methylation
Low innate production of interleukin-1 beta and interleukin-6 is associated with the absence of osteoarthritis in old age
Low penetrance of a SDHB mutation in a large Dutch paraganglioma family
Low uptake of prenatal diagnosis after established carrier status of a balanced structural chromosome abnormality in couples with recurrent miscarriage
Low Dietary Inorganic Phosphate Stimulates Lung Tumorigenesis Through Altering Protein Translation and Cell Cycle in K-ras(LA1) Mice
Low temperature processing of highly porous silicon carbide ceramics with improved flexural strength
Low Postseroconversion CD4 Count and Rapid Decrease of CD4 Density Identify HIV+ Fast Progressors
Low Occurrence of Clostridium difficile in Fecal Samples of Healthy Calves and Pigs at Slaughter and in Minced Meat in Switzerland
Low Molecular Weight Antagonists of the Myelin-Associated Glycoprotein: Synthesis, Docking, and Biological Evaluation
Low Specific Contact Resistance of NiSi and PtSi to Si: Impact of Interface
Low Treatment Adherence with Antipsychotics is Associated with Relapse in Psychotic Disorders within Six Months after Discharge
Low hospital admission rates for respiratory diseases in children
Low Mid-Upper Arm Circumference, Calf Circumference, and Body Mass Index and Mortality in Older Persons
Low Back Pain History and Postural Sway in Unstable Sitting
Low free testosterone levels are associated with prevalence and incidence of depressive symptoms in older men
Low to Intermediate Probability of Coronary Artery Disease : Comparison of Coronary CT Angiography with First-Pass MR Myocardial Perfusion Imaging
Low fertility in contemporary humans and the mate value of their children: sex-specific effects on social status indicators
Low Peripheral Nerve Conduction Velocities and Amplitudes Are Strongly Related to Diabetic Microvascular Complications in Type 1 Diabetes The EURODIAB Prospective Complications Study
Low Respiratory Function Increases the Risk of Depressive Symptoms in Later Life in Men
Low Serum Free Triiodothyronine Levels Mark Familial Longevity: The Leiden Longevity Study
Low Levels of Mutant Ubiquitin Are Degraded by the Proteasome In Vivo
Low serum vitamin K in PXE results in defective carboxylation of mineralization inhibitors similar to the GGCX mutations in the PXE-like syndrome
Low Current-driven Micro-electroporation Allows Efficient In Vivo Delivery of Nonviral DNA into the Adult Mouse Brain
Low level occupational benzene exposure and hematological parameters
Low temperature diffusion and oxygen stoichiometry in lanthanum nickelate
Low magnetic field anomaly of the Hall effect in disordered two-dimensional systems: Interplay between weak localization and electron-electron interaction
Low temperature/high pressure polymorphism in DL-cysteine
Low frequency of the PARK2 gene mutations in Polish patients with the early-onset form of Parkinson disease
Low efficacy of entecavir therapy in adefovir-refractory hepatitis B patients with prior lamivudine resistance
Low bending loss characteristics of hybrid plasmonic waveguide for flexible optical interconnect
Low band gap conjugated polymers consisting of alternating dodecyl thieno[3,4-b]thiophene-2-carboxylate and one or two thiophene rings: Synthesis and photovoltaic property
Low leaf to fruit ratio delays fruit maturity of 'Lapins' sweet cherry on Gisela 5
Low cycle thermo-mechanical fatigue: damage operator approach
Low temperature resonances in the electron heat capacity of finite systems
Low level exposure to monomethyl arsonous acid-induced the over-production of inflammation-related cytokines and the activation of cell signals associated with tumor progression in a urothelial cell model
Low seroprevalence of Leishmania infantum infection in cats from northern Portugal based on DAT and ELISA
Low Sampling Rates Bias Outcomes from the Wingate Test
Low level laser therapy before eccentric exercise reduces muscle damage markers in humans
Low salivary cortisol levels in infants of families with an anthroposophic lifestyle
Low power cross-flow atmospheric pressure Ar plus He plasma jet Spectroscopic diagnostic and excitation capabilities
Low dose gamma irradiation effect on aluminosilicate compounds
Low potential thiocholine oxidation at carbon nanotube-ionic liquid gel sensor
Low river flow alters the biomass and population structure of a riparian predatory invertebrate
Low temperature synthesis of fluorescent ZnO nanoparticles
Low energy electromagnetic processes based on the chiral effective field theory approach
Low cut-off values increase diagnostic performance of protein S assays
Low Ascorbate Levels Are Associated with Increased Hypoxia-Inducible Factor-1 Activity and an Aggressive Tumor Phenotype in Endometrial Cancer
Low Lymphocyte Ratio as a Novel Prognostic Factor in Acute Heart Failure: Results from the Pre-RELAX-AHF Study
Low temperature n-butane oxidation skeletal mechanism, based on multilevel approach
Low power resistive switching memory using Cu metallic filament in Ge0.2Se0.8 solid-electrolyte
Low Seroprotection against Preseasonal Influenza Local Strains in Children Might Predict the Upcoming Epidemic Influenza Strains
Low accuracy of the national reporting system of acute hepatitis C infection in Taiwan, 1995-2004
Low Rate of Cerebral Injury in Monochorionic Twins With Selective Intrauterine Growth Restriction
Low Serum Acylated Ghrelin Levels are Associated with the Development of Cardiovascular Disease in Hemodialysis Patients
Low Hysteresis Dispersion La2O3 AlGaN/GaN MOS-HEMTs
Low Driving Voltage Amorphous In-Ga-Zn-O Thin Film Transistors with Small Subthreshold Swing Using High-kappa Hf-Si-O Dielectrics
Low endotoxic activity of lipopolysaccharides isolated from Bradyrhizobium, Mesorhizobium, and Azospirillum strains
Low adiponectin blood concentration predicts left ventricular remodeling after ST-segment elevation myocardial infarction treated with primary percutaneous coronary intervention
Low Parasitic Capacitance and Low-Power CMOS Capacitive Fingerprint Sensor
Low power design of phase-change memory based on a comprehensive model
Low Voltage Tunable Square-Root Domain Band-Pass Filter with Translinear Loop Technique in Biomedical Engineering
Low temperature growth of ZnO nanorods on flexible polymeric substrates
Low plasma retinol predicts coronary events in healthy middle-aged men: The PRIME Study
Low shear granulation of pharmaceutical powders: Effect of formulation on granulation and tablet properties
Low doses of urban air particles from Buenos Aires promote oxidative stress and apoptosis in mice lungs
Low Levels of High Density Lipoprotein Increase the Severity of Cerebral White Matter Changes: Implications for Prevention and Treatment of Cerebrovascular Diseases
Low birth weight in offspring of women with depressive and anxiety symptoms during pregnancy: results from a population based study in Bangladesh
Low plasma adiponectin concentration is associated with myocardial infarction in young individuals
Low level of adjustment latitude-a risk factor for sickness absence
Low Sense of Coherence (SOC) is a mirror of general anxiety and persistent depressive symptoms in adolescent girls - a cross-sectional study of a clinical and a non-clinical cohort
Low Prevalence of Transmitted Drug Resistance Among Newly Diagnosed HIV-1 Patients in Latvia
Low Levels of Antibodies Against Phosphorylcholine Predict Development of Stroke in a Population-Based Study From Northern Sweden
Low levels of IgM antibodies to phosphorylcholine predict cardiovascular disease in 60-year old men: Effects on uptake of oxidized LDL in macrophages as a potential mechanism
Low Frequency Nonnucleoside Reverse-Transcriptase Inhibitor-Resistant Variants Contribute to Failure of Efavirenz-Containing Regimens in Treatment-Experienced Patients
Low 8-oxo-7,8-dihydro-2 '-deoxyguanosine levels and influence of genetic background in an Andean population exposed to high levels of arsenic
Low level natural antibodies against phosphorylcholine: A novel risk marker and potential mechanism in atherosclerosis and cardiovascular disease
Low Levels of Antibodies Against Phosphorylcholine in Alzheimer's Disease
Low Total and Nonheme Iron Intakes Are Associated with a Greater Risk of Hypertension
Low back pain: what determines functional outcome at six months? An observational study
Low temperature plasma carbonitriding of ASTM F138 and ASTM F1586 biomedical stainless steels
Low energy diet and intracranial pressure in women with idiopathic intracranial hypertension: prospective cohort study
Low frequency vibration of multiwall carbon nanotubes with heterogeneous boundaries
Low percolation threshold conductive device derived from a five-component polymer blend
Low expression of CysLT(1)R and high expression of CysLT(2)R mediate good prognosis in colorectal cancer
Low plasma levels of monocyte chemoattractant protein-1 (MCP-1), tumor necrosis factor-alpha (TNF alpha), and vascular endothelial growth factor (VEGF) in patients with alpha1-antitrypsin deficiency-related fibromyalgia
Low Levels of Phosphorylated Epidermal Growth Factor Receptor in Nonmalignant and Malignant Prostate Tissue Predict Favorable Outcome in Prostate Cancer Patients
Low Bone Mineral Density Is an Independent Risk Factor for Stroke and Death
Low sensitivity of cloud condensation nuclei to changes in the sea-air flux of dimethyl-sulphide
Low repopulation of poor districts in New Orleans proves little
Low cycle fatigue simulation and fatigue life prediction of multilayer coated surfaces
Low sex hormones in heart failure
Low Pessimism Protects Against Stroke The Health and Social Support (HeSSup) Prospective Cohort Study
Low Nociceptor GRK2 Prolongs Prostaglandin E-2 Hyperalgesia via Biased cAMP Signaling to Epac/Rap1, Protein Kinase C epsilon, and MEK/ERK
Low Rate of Conversion to Transfemoral Approach When Attempting Both, Radial Arteries for Coronary Angiography and Percutaneous Coronary Intervention: A Study of 1,826 Consecutive Procedures
Low intensity intra-epidermal electrical stimulation can activate A delta-nociceptors selectively
Low Pressure RAIRS Studies of Model Catalytic Systems
Low Complexity Digit Serial Systolic Montgomery Multipliers for Special Class of GF(2(m))
Low radio-derived star formation rates in z < 0.5 gamma-ray burst host galaxies
Low energy electronic spectroscopy of an infinite-layer cuprate: A resonant inelastic X-ray scattering study of CaCuO2
Low carbon fuel standards: Implementation scenarios and challenges
Low extracellular zinc increases neuronal oxidant production through nadph oxidase and nitric oxide synthase activation
Low level of self-reported physical activity in ambulatory patients new to dialysis
Low to Moderate Alcohol Intake Is Not Associated with Increased Mortality after Breast Cancer
Low scale nonuniversal, nonanomalous U(1)(F)' in a minimal supersymmetric standard model
Low HDL cholesterol is associated with increased atherogenic lipoproteins and insulin resistance in women classified with metabolic syndrome
Low Concentrations of Anti-Ab Antibodies Generated in Tg2576 Mice by DNA Epitope Vaccine Fused with 3C3d Molecular Adjuvant Do Not Affect AD Pathology
Low Aerobic Fitness and Obesity Are Associated with Lower Standardized Test Scores in Children
Low Calorie Dieting Increases Cortisol
Low temperature exfoliation process in hydrogen-implanted germanium layers
Low Physical Activity Is Associated With Proinflammatory High-Density Lipoprotein and Increased Subclinical Atherosclerosis in Women With Systemic Lupus Erythematosus
Low Temperature Processing of Porous Silicon Films for Wafer Bonding-Based Thin-Film Layer Transfer Applications
Low gap amorphous GaN1-xAsx alloys grown on glass substrate
Low Ultraviolet B and Increased Risk of Brain Cancer: An Ecological Study of 175 Countries
Low scale flavor gauge symmetries
Low frequency noise in long channel amorphous In-Ga-Zn-O thin film transistors
Low cerebrospinal fluid and plasma orexin-A (hypocretin-1) concentrations in combat-related posttraumatic stress disorder
Low Density Lipoprotein Receptor-related Protein (LRP1) Regulates Rac1 and RhoA Reciprocally to Control Schwann Cell Adhesion and Migration
Low defect-mediated reverse-bias leakage in (0001) GaN via high-temperature molecular beam epitaxy
Low Distortion Multicasting of an Analog Signal by Self-Seeded Parametric Mixer
Low Oxygen-Affinity Hemoglobin Solution Increases Oxygenation of Partially Ischemic Tissue During Acute Anemia
Low Diagnostic Yield of Elective Coronary Angiography
Low Apgar score and mortality in extremely preterm neonates born in the United States
Low Prevalence of Chronic Beryllium Disease Among Workers at a Nuclear Weapons Research and Development Facility
Low resistance Ti/Al/Au ohmic backside contacts to nonpolar m-plane n-GaN
Low Ohmic Contact Resistance m-Plane AlGaN/GaN Heterojunction Field-Effect Transistors with Enhancement-Mode Operations
Low HIV testing rates among tuberculosis patients in Kampala, Uganda
Low frequency observations of the radio nebula produced by the giant flare from SGR 1806-20 Polarimetry and total intensity measurements
Low baseline startle and deficient affective startle modulation in remitted bipolar disorder patients and their unaffected siblings
Low Complexity Location Fingerprinting With Generalized UWB Energy Detection Receivers