Home
|
Learning Center
|
中文版
Publications
站内成果搜索:
搜索
Modelling of Hot-Electron Energy in Short-Channel MOSFETs by Electrical Method
Modeling of Contaminant Transport in Underground Coal Gasification
Modelling galaxy-galaxy weak lensing with Sloan Digital Sky Survey groups
Models for Tendon Biomechanical Investigations
Modeling and Analysis of Distortion Caused by Markov-Model Burst Packet Losses in Video Transmission
Model Test Study on Ice-Induced Vibrations of Compliant Multi-Cone Structures
Modeling the effects of riparian buffer zone and contour strips on stream water quality
Modeling for analysis of the effect of Young's modulus on soft active hydrogels subject to pH stimulus
Modelling and real-time compensation of cutting-force-induced error on a numerical control twin-spindle lathe
Modelling tritrophic interactions mediated by induced defence volatiles
Modeling of Velocity Distribution Among Microchannels with Triangle Manifolds
Modeling Heat Transfer for Heat-resistant Fabrics Considering Pyrolysis Effect under an External Heat Flux
Model-free kinetics applied to an oxidation mechanism of a biomorphic carbon template derived from pine
Modeling and simulation of bioheat transfer in the human eye using the 3D alpha finite element method (alpha FEM)
Model verification of Lode's test results and yield function of isotropic FCC polycrystal
Modeling and analysis of the induced signal detected in electrostatic ion beam trap for mass spectrometry
Modelling the spread of sexually transmitted diseases on scale-free networks
Model-Based H-infinity Control of a Unified Power Quality Conditioner
Modelling and characteristic analysis of a displacement sensor with liftoff compensation
Moderate temperature synthesis of flower- and dot-shaped HgS nanocrystals
Model of coral population response to accelerated bleaching and mass mortality in a changing climate
Modeling particle fate in ventilation system-Part II: Case study
Modeling particle fate in ventilation system-Part I: Model development
Modeling of the heat transfer and flow features of the thermal plasma reactor with counter-flow gas injection
Modelling of compression and extension of the continental lithosphere: Towards rehabilitation of the necking-level model
Modelling and transient planar dynamics of suspended cables with moving mass
Modeling and Dynamic Characteristic Simulation of a Proton Exchange Membrane Fuel Cell
Modelling of the grain size probability distribution in polycrystalline nanomaterials
Modelling of granule formation process of powdered materials by the method of rolling
Modelling snow failure with a fibre bundle model
Modeling of mass transfers in a porous green compact with two-component binder during thermal debinding
Modeling fatigue crack growth in a bimaterial specimen with the configurational forces concept
Modes of Wave Propagation and Dispersion Relations in a Cylindrical Shell
Modeling of fluidized bed membrane reactors for hydrogen production from steam methane reforming with Aspen Plus
Modeling impacts of carbon sequestration on net greenhouse gas emissions from agricultural soils in China
Modeling the Role of Transportation Information in Mitigating Major Capacity Reductions in a Regional Network
Modeling of flow stress of 42CrMo steel under hot compression
Mode-field half-widths of Gaussian approximation for the fundamental mode of two kinds of optical waveguides
Modeling of the Multiphase Behavior of Nitrogen-Containing Systems at Low Temperatures with Equations of State
Modelling and Finite Element Simulation of Filler-Reinforced Elastomers under Dynamic Deformations
Modelling the abundance of three key plant species in New Zealand hill-pasture using a decision tree approach
Modeling of the heat transfer performance of plate-type dispersion nuclear fuel elements
Modeling of Cavitation Bubble Dynamics in Multicomponent Mixtures
Model of Evoked Rabbit Phonation
Modeling the Effects of Heterogeneity and Anisotropy on the Excavation Damaged/Disturbed Zone (EDZ)
Moderate deviation principle for autoregressive processes
Modeling of Biochemical Nitrate Reduction in Constant Electric Field
Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits
Modelling nanofiber Mach-Zehnder interferometers for refractive index sensors
Moderate deviations for LS estimator in simple linear EV regression model
Modeling and Optimization for Scheduling of Chemical Batch Processes
Modeling and Control of Nonlinear Discrete-time Systems Based on Compound Neural Networks
Modeling the fine particle impact damper
Model for the formation of arsenic contamination in groundwaters: 3. Causes of contamination
Modelling sound propagation in the Southern Ocean to estimate the acoustic impact of seismic research surveys on marine mammals
Modeling of Diffusion Transport through Oral Biofilms with the Inverse Problem Method
Mode I interlaminar fracture property of moso bamboo (Phyllostachys pubescens)
Modelling and parametric study of modular undulating fin rays for fish robots
Modeling and robust control of worm-gear driven systems
Modelling flow transition in a hypersonic boundary layer with Reynolds-averaged Navier-Stokes approach
Modeling sample variables with an Experimental Factor Ontology
Model for Predicting Financial Performance of Development and Construction Corporations
Moderate deviations for parameter estimation in some time inhomogeneous diffusions
Modeling the cold deformation of titanium alloys
Modeling mercury speciation in combustion flue gases using support vector machine: Prediction and evaluation
Modeling of peptides containing D-amino acids: implications on cyclization
Modelling the propagation of an internal solitary wave across double ridges and a shelf-slope
Modeling and control for nonlinear structural systems via a NN-based approach
Mode switching control of dual-evaporator air-conditioning systems
Modeling the Influence of Injection Modes on the Evolution of Solution Sprays in a Plasma Jet
Modeling the Design Process of Product Variants With Timed Colored Petri Nets
Modeling loading rate effect on crushing stress of metallic cellular materials
Modeling job shop scheduling with batches and setup times by timed Petri nets
Modelling of mass transfer in film flow of shear thinning liquid on a horizontal rotating disk
Modeling of Melt Conveying in a Novel Screw-Nested Extruder
Modeling golden section in plants
Modelling Pu/Am decorporation by DTPA
Model and analysis of path compression for mobile Ad Hoc networks
Modeling of RF Filter Component Based on Film Bulk Acoustic Resonator
Modeling boll maturation period, seed growth, protein, and oil content of cotton (Gossypium hirsutum L.) in China
Modeling local structure using crystal field and spin Hamiltonian parameters: the tetragonal Fe-K(3+)-O-I(2-) defect center in KTaO3 crystal
Modeling of Nanofiltration Process for Solvent Recovery from Aqueous Ethanol Solution of Soybean Isoflavones
Modelling coastal ground- and surface-water interactions using an integrated approach
Modeling and Simulation on Axial Piston Pump Based on Virtual Prototype Technology
Modelling technology acceptance in education: A study of pre-service teachers
Modeling the effect of environmental solution pH on the mechanical characteristics of glucose-sensitive hydrogels
Models To Approximate the Motions of Protein Loops
Modeling Images With Multiple Trace Transforms for Pattern Analysis
Model of nonlinear coupled thermo-hydro-elastodynamics response for a saturated poroelastic medium
Modeling and enhanced control of DFIG under unbalanced grid voltage conditions
Modeling, Experimentation, and Simulation of an Air-Over-Hydraulic Brake System
Modeling and analysis of carbon dioxide permeation through ceramic-carbonate dual-phase membranes
Modeling of Z-scan characteristics for one-dimensional nonlinear photonic bandgap materials
Modeling seismic wave propagation within complex structures
Modeling generation of the router-level topology of an ISP network
Modeling iceberg-rafted sedimentation in high-latitude fjord environments
Modeling and analysis of dynamic adsorption during gas transport through a membrane
Modeling and Adaptive Control with Fuzzy Neural Networks-Selected Papers from the 6th International Symposium on Neural Networks
Modeling of a Cartwheel Flexural Pivot
Modelling of temperature field and residual stress of vacuum brazing for stainless steel plate-fin structure
Modeling of variable speed refrigerated display cabinets based on adaptive support vector machine
Modelling for product information tracking and feedback via wireless technology in closed-loop supply chains
Modeling of protein breakthrough performance in cryogel columns by taking into account the overall axial dispersion
Modeling production configuration using nested colored object-oriented Petri-nets with changeable structures
Models and performance evaluation for multiple-input multiple-output space-time adaptive processing radar
Modeling and analysis of microtubules based on a modified couple stress theory
Model-based monitoring and policy enforcement of services
Modeling and improvement of silicon ingot directional solidification for industrial production systems
Modeling and Kinetic Study on Absorption of CO2 by Aqueous Solutions of N-methyldiethanolamine in a Modified Wetted Wall Column
Mode Analysis of High-Power Microwave Generation in the Inward-Emitting Coaxial Vircator Based on Computer Simulation
Modern power systems control centers: from EMS to AEMS
Model Update January 2010: Upper Mantle Heterogeneity beneath North America from Traveltime Tomography with Global and USArray Transportable Array Data
Modeling of seafloor exploration using electric-source frequency-domain CSEM and the analysis of water depth effect
Modeling fracture porosity evolution in dolostone
Modelling leaf shape dynamics in rice
Modeling of Pipeline Leakage Detection and Prewarning System for Locating Error Analysis Based on Jones Matrix
Modeling and monitoring of thermal and technological fields
Modelling protein crystallisation using morphological population balance models
Modeling the statistical distributions of cosmogenic exposure dates from moraines
Modelling time-dependent behaviour of Murro test embankment
Model for Influences of Magnetic Fields on Intracellular Calcium Oscillations
Models for local implementation of comprehensive cancer control: meeting local cancer control needs through community collaboration
Modeling of Nonlinear Propagation in Multi-layer Biological Tissues for Strong Focused Ultrasound
Modeling of Ferroelectric Hysteresis Area of Hard Lead Zirconate Titanate Ceramics: Artificial Neural Network Approach
Mode Identification of Hybrid Systems in the Presence of Fault
Model for Formation of Dunes at the North Martian Pole
Modeling of carbon nanotube field-effect transistor with nanowelding treatment
Modeling and Robust Control of Horizontal Vibrations for High-speed Elevator
Modeling and Simulation of a Transcutaneous Energy Transmission System Used in Artificial Organ Implants
Modeling compositional dynamics based on GC and purine contents of protein-coding sequences
Model averaging for semiparametric additive partial linear models
Models of Coal Industry in Poland
Modeling the Cost-Effectiveness of the Integrated Disease Surveillance and Response (IDSR) System: Meningitis in Burkina Faso
Modelling of the microstructure: From classical cellular automata approach to the frontal one
Modeling of compressive strength of HPC mixes using a combined algorithm of genetic programming and orthogonal least squares
Modeling outer-sphere disorder in the symmetry breaking of PPV
Modelling the population density of China at the pixel level based on DMSP/OLS non-radiance-calibrated night-time light images
Modeling and analysis of the material removal depth for stone polishing
Modeling of SO2 removal in fabric filter
Modeling and analysis of two unreliable batch machines with a finite buffer in between
Modeling of the Melting Point, Debye Temperature, Thermal Expansion Coefficient, and the Specific Heat of Nanostructured Materials
Modelling of graded index waveguide fabricated by ion exchange on Er3+ doped glass
Modeling of femtosecond laser damage threshold on the two-layer metal films
Model development and adaptive imbalance vibration control of magnetic suspended system
Model of streamline upwinding and meshless method for electromagnetic field involving moving conductor
Modeling the surface mass balance of a high Arctic glacier using the ERA-40 reanalysis
Modeling the integration between specifications and verification for cylindricity based on category theory
Modeling performance of a two-dimensional capsule in a microchannel flow: Long-term lateral migration
Modeling of ultrafine particle dispersion in indoor environments with an improved drift flux model
Modeling liquid thermal explosion reactor containing tert-butyl peroxybenzoate
Modeling the TB/HIV-1 Co-Infection and the Effects of Its Treatment
Modeling and Compensation of Ripples and Friction in Permanent-Magnet Linear Motor Using a Hysteretic Relay
Modeling and Experiments for Heat Transfer Process in Pulverized Coal-Firing Furnace With Two-Dimensional Radiation Characteristics
Moderate-Severe Renal Insufficiency Is a Risk Factor for Sirolimus-Eluting Stent Thrombosis
Modeling of pulsed GTAW based on multi-sensor fusion
Modeling of combined Bayesian networks and cognitive framework for decision-making in C2
Modeling and Optimization of M/G/1-Type Queueing Networks: An Efficient Sensitivity Analysis Approach
Modeling the performance of magnetic nanoparticles in multimodal cancer therapy
Modeling a Broadband Bismuth-Doped Fiber Amplifier
Modelling and Trajectory Tracking Control of A 2-DOF Vision Based Inverted Pendulum
Model order determination and noise removal for modal parameter estimation
Modeling of the Electrical Percolation of Mixed Carbon Fillers in Polymer-Based Composites
Modelling the submarine mass failure induced Tsunamis by Boussinesq equations
Modeling VOC Emissions in the High-Purity Oxygen Activated Sludge Process
Modelling and simulation study on application of sliding-mode control for an active anti-roll system in a passenger car with air suspension
Modelling the impacts of a nitrogen pollution event on the biogeochemistry of an Arctic glacier
Modeling of electric field distribution around conductors with consideration of spacers
Modelling of the Automatic Depth Control Electrohydraulic System Using RBF Neural Network and Genetic Algorithm
Modeling and energy simulation of the variable refrigerant flow air conditioning system with water-cooled condenser under cooling conditions
Modelling of laser forming - An review
Modeling Cohesive Cracks with Meshless Method
Modeling and prediction of the mixed-mode retention mechanisms for puerarin and its analogues on n-octylamine modified poly(glycidyl methacrylate-co-ethylene glycol dimethacrylate) monoliths
Modeling economic system with the use of matrix algebra (Leontief input-output model)
Modeling of Progressive Failure in Ductile Matrix Composites Including Local Matrix Yielding
Modeling Physicochemical Properties and Activity of Aspartyl Proteinases Based on Amino Acid Composition
Models of Reactions of Human Heart as Nonlinear Dynamic System to Cosmic and Geophysical Factors
Modeling short- and long-term slow slip events in the seismic cycles of large subduction earthquakes
Modeling the activity of short-term slow slip events along deep subduction interfaces beneath Shikoku, southwest Japan
Modelling of DRI Processes with Two Simultaneously Active Reducing Gases
Modeling the Connectivity of the Neural Systems
Modeling the Velocity of Self-Propagating Exothermic Reactions in Multilayer Foils
Modeling on mass abrasion of kinetic energy penetrator
Modeling the national pediatric vaccine stockpile: Supply shortages, health impacts and cost consequences
Modeling migration of strontium in sand and gravel aquifer in the candidate VLLW disposal site
Modeling and Computing Example for Effective Electromagnetic Parameters of Multiphase Composite Media
Modeling software faults propagation
Mode of Action for Natural Products Isolated From Essential Oils of Two Trees Is Different From Available Mosquito Adulticides
Moderately thermostable phage Phi 11 Cro repressor has novel DNA-binding capacity and physicochemical properties
Modeling of micro-crack growth during thermal shock based on microstructural images of thermal barrier coatings
Modeling the cell-type dependence of diffusion-limited intracellular ice nucleation and growth during both vitrification and slow freezing
Modeling and simulation of a novel vertical actuator based on electrowetting on dielectric
Modelling the effects of food ingredients and pH on high-pressure processing inactivation of Bacillus cereus spores: a laboratorial study
Modeling of reconfigurable manufacturing systems based on colored timed object-oriented Petri nets
Modeling the performance of biodegradation of textile wastewater using polyurethane foam sponge cube as a supporting medium
Modelling and motion control of a mechatronic system using BGM with intelligent controllers
Modeling Study on Absorption of CO2 by Aqueous Solutions of N-Methyldiethanolamine in Rotating Packed Bed
Model reference adaptive synchronization in integration complex dynamical networks
Modeling and Visualization of Underground Structures
Modeling the Deposition Dynamics of a Twin-Atomizer Spray Forming System
Modeling simulation and experiment of micro-Doppler signature of precession
Model reference adaptive control for a piezo-positioning system
Modeling of bearingless permanent magnet synchronous motor based on mechanical to electrical coordinates transformation
Moderation of antidepressant response by the serotonin transporter gene
Model-Based Integration and Optimization-Gas-Cycling Benchmark
Modeling of Self-Sustained Pulsation Based on Two-Section Distributed Feedback Lasers with Shift-Layer
Modeling Thermal Decomposition Kinetic Algorithms on CL-20 and HMX
Model-based design of a pilot-scale simulated moving bed for purification of citric acid from fermentation broth
Modes of Heat Transfer in the Leidenfrost Effect
Modeling and simulation on dynamic recrystallization of 30Cr2Ni4MoV rotor steel using the cellular automaton method
Modeling of granular media submitted to internal underpressure
Modelling and identification for non-uniformly periodically sampled-data systems
Modeling and economic analysis of gas engine heat pumps for residential and commercial buildings in various climate regions of Iran
Modeling of powder particle heat transfer process in selective laser sintering for fabricating tissue engineering scaffolds
Model Abstraction of Nondeterministic Finite-State Automata in Supervisor Synthesis
Modeling of Recast Layer in Micro-Electrical Discharge Machining
Modeling of effect of initial fixed charge density on smart hydrogel response to ionic strength of environmental solution
Modeling and analysis of effective ways for improving the reliability of second-hand products sold with warranty
Modeling of interfacial friction damping of carbon nanotube-based nanocomposites
Modeling and simulation of fuel cell hybrid vehicles
Modeling gas oil spray coalescence and vaporization in gas solid riser reactor
Modelling Immune System: Principles, Models, Analysis and Perspectives
Modeling Signal Propagation Mechanisms and Ligand-Based Conformational Dynamics of the Hsp90 Molecular Chaperone Full-Length Dimer
Modeling fluid-particle interaction in dilute-phase turbulent liquid-particle flow simulation
Modeling creep and rate effects in structured anisotropic soft clays
Modelling of tubes subjected to axial crushing
Modeling and Analysis of a Single-Phase Distribution Transformer With Midtap on the Secondary Side
Model optimization of SVM for a fermentation soft sensor
Modeling of Advanced High Strength Steels with the realistic microstructure-strength relationships
Model tests of iceberg towing
Modeling equilibrium adsorption of organic micropollutants onto activated carbon
Modeling and analysis of thermal damping in heat exchanger tube bundles
Modeling "psychosis" in vitro by inducing disordered neuronal network activity in cortical brain slices
Modeling the multi-degradation mechanisms of combined tribocorrosion interacting with static and cyclic loaded surfaces of passive metals exposed to seawater
Modeling and optimization of biogas production from a waste digester using artificial neural network and genetic algorithm
Modeling of ultrasound contrast agents bubble dynamics with modified surface tension coefficient
Modelling and simulation of the steady-state and dynamic behaviour of a PEM fuel cell
Modeling of maximum dry density and optimum moisture content of stabilized soil using artificial neural networks
Modeling Physical Activity Outcomes A Two-part Generalized-estimating-equations Approach
Model non-equilibrium molecular dynamics simulations of heat transfer from a hot gold surface to an alkylthiolate self-assembled monolayer
Modeling dust and soluble iron deposition to the South Atlantic Ocean
Model for Router-Level Internet Topology Based on Attribute Evolution
Model for thermoacoustic emission from solids
Model-based correction of finite aperture effect in photoacoustic tomography
Modelling of Cr2N Age-precipitation in High Nitrogen Stainless Steels by Neural Networks
Model simplification and time-scale assumptions applied to distillation modelling
Modeling the effect of barrier thickness and low-k dielectric on circuit reliability using 3D model
Modeling the High-Frequency Degradation of Phase/Frequency Detectors
Modeling for active fin stabilizers at zero speed
Modern microwave magnetic materials: Recent advances and trends
Modelling of a Chaotic Time Series Using a Modified Minimax Probability Machine Regression
Modern pollen assemblages of the forest communities and their relationships with vegetation and climate in northern China
Modeling lattice expansion and cohesive energy of nanostructured materials
Model of the optical Stark effect in semiconductor quantum wells: Evidence for asymmetric dressed exciton bands
Modeling the preservation potential of tidal flat sedimentary records, Jiangsu coast, eastern China
Modeling effects of abrasive particle size and concentration on material removal at molecular scale in chemical mechanical polishing
Modeling metabolic networks in C. glutamicum: a comparison of rate laws in combination with various parameter optimization strategies
Mode of dye loading affects staining outcomes of fluorescent dyes in astrocytes exposed to multiwalled carbon nanotubes
Modeling and parameter identification of granular plastomer conglomerate submitted to internal underpressure
Modelling the coupled transfer of mass and thermal energy in the vapour-liquid region of a nitrogen-oxygen mixture
Model and error analysis for coded structured light measurement system
Modeling and simulation of microfluid effects on deformation behavior of a red blood cell in a capillary
Modeling the tidal channel morphodynamics in a macro-tidal embayment, Hangzhou Bay, China
Model optimization of orthotropic distributed-mode loudspeaker using attached masses
Modelling Thermal Stratification and Artificial De-stratification using DYRESM; Case study: 15-Khordad Reservoir
Modelling of time-dependent behavior of the basal sliding surface of the Aknes rockslide area in western Norway
Model-based evolutionary analysis: the natural history of phage-shock stress response
Modeling Power Saving Protocols for Multicast Services in 802.11 Wireless LANs
Modelling the respiration rate of guava (Psidium guajava L.) fruit using enzyme kinetics, chemical kinetics and artificial neural network
Modeling of Adaptive Load Balancing with Hybrid F/CDMA and Sectorization Schemes in Mobile Communication Networks
Modeling Anhydrobiosis: Activation of the Mitogen-Activated Protein Kinase ERK by Dehydration in Both Human Cells and Nematodes
Modeling of Silicon Carbide ECR Etching by Feed-Forward Neural Network and Its Physical Interpretations
Modeling of a hollow fiber membrane biofilm reactor for nitric oxide removal: Model development and experimental validation
Modelling within host parasite dynamics of schistosomiasis
Model comparisons on molten core-concrete interactions
Model catalysts of supported Au nanoparticles and mass-selected clusters
Modeling and simulation of uniaxial strain effects in armchair graphene nanoribbon tunneling field effect transistors
Modeling and Performance Analysis of Automatic Meter-Reading Systems Using PLC Under Impulsive Noise Interference
Modeling of the n+Pu-242 Reactions for Fast Reactor Applications
Modeling and direct extraction of band offset induced by stress engineering in silicon-on-insulator metal-oxide-semiconductor field effect transistors: Implications for device reliability
Model-based virtual surface texturing for concentrated conformal-contact lubrication
Mode coupling as a Landau theory of the glass transition
Modeling the mass fluxes and transformations of nutrients in the Pearl River Delta, China
Modeling fuzzy multi-period production planning and sourcing problem with credibility service levels
Modelling of the spent fuel oxidation: Toward the operational model
Modeling multi-stage decomposition of cotton fabrics considering char oxidation in the presence of oxygen
Modelling crease recovery behaviour of woven fabrics
Modelling and optimizing sequential imperfect preventive maintenance
Modelling and simulations of the chemo-mechanical behaviour of leached cement-based materials Leaching process and induced loss of stiffness
Modeling the Phase Behavior of PEO-PPO-PEO Surfactants in Carbon Dioxide Using the PC-SAFT Equation of State: Application to Dry Decontamination of Solid Substrates
Model-independent search for the decay B+-> l(+)nu(l)gamma
Modeling ultrashort filaments of light
Modeling Flexible Operation Mechanism of CO2 Capture Power Plant and Its Effects on Power-System Operation
Modelling of the evolution of micro-grain misorientations during creep of tempered martensite ferritic steels
Modelling SOL flow pattern spreading in the edge plasma
Model-independent implications of the e(+/-), (p)over-bar cosmic ray spectra on properties of Dark Matter
Modelling and experimental study on agglomeration of particles from coal combustion in multistage spouted fluidized tower
Model of hole effective mass of strained Si1-xGex/(111)Si
Modeling the trajectory of window flames with regard to flow attachment to the adjacent wall
Modeling thermal behaviors of window flame ejected from a fire compartment
Modeling NO-Char Reaction at High Temperature
Modelling LAI at a regional scale with ISBA-A-gs: comparison with satellite-derived LAI over southwestern France
Modelling the effect of boundary scavenging on Thorium and Protactinium profiles in the ocean
Model of intrinsic carrier concentration of strained Si/(001)Si1-x Ge-x
Modelling dynamic memory management in constraint-based testing
Modeling Magnetic Emissions Combining Image Processing and an Optimization Algorithm
Modeling changes in the coastal ecosystem of the Pearl River Estuary from 1981 to 1998
Modeling the evolution of associated data
Mode excitation by turbulent convection in rotating stars I. Effect of uniform rotation
Modelling of intercellular synchronization in the Drosophila circadian clock
Modern hydrology and late Holocene history of Lake Karakul, eastern Pamirs (Tajikistan): A reconnaissance study
Modeling Social Annotations via Latent Reason Identification
Modeling of Multisize Bubbly Flow and Application to the Simulation of Boiling Flows with the Neptune_CFD Code
Modeling of lower hybrid antennas using the ALOHA code and comparisons with Tore Supra experiments
Modeling of the polyethylene and poly(L-lactide) triblock copolymer: A dissipative particle dynamics study
Modeling and experimental study of grinding forces in surface grinding
Modern pollen rain in savanna and forest ecosystems of Gabon and Cameroon, Central Atlantic Africa
Modern Rutherford Experiment: Tunneling of the Most Neutron-Rich Nucleus
Model and Control of Flexible Multibody Satellite
Modeling of an oblique impact of solder droplet onto a groove with the impact point to be offset from the groove surfaces interface
Model for Sludge Cake Drying Accounting for Developing Cracks
Modelling the long-term consequences of a hypothetical dispersal of radioactivity in an urban area including remediation alternatives
Modeling for a Multitap Interphase Reactor in a Multipulse Diode Bridge Rectifier
Modelling of Pb release during Portland cement alteration
Modeling of the oscillating field effects on the He-beta line emission in short pulse laser-produced plasmas
Modelling vegetation effects in irregular meandering river
Modeling the Interactions Between alpha(1)-Adrenergic Receptors and Their Antagonists
Modeled and measured bending stiffness of polyethylene coated solid fiberboard
Modelling of cortical and thalamic 600 Hz activity by means of oscillatory networks
Modeling, simulation and analysis of the liquid-phase catalytic oxidation of toluene
Modeling of the Plane Needle Cutting Edge Rake and Inclination Angles for Biopsy
Mode field converter based on embedded photonic crystal fiber
Modeling Radiated Emissions Due to Power Bus Noise From Circuit Boards With Attached Cables
Modelling of nonlinear dynamics for reciprocal multi-layer piezoceramic transducer systems
Modeling vertical stratification of CO2 injected into a deep layered aquifer
Modeling, characterization and fabrication of vibration energy harvester using Terfenol-D/PZT/Terfenol-D composite transducer
Modelling of hydrogen production in batch cultures of the photosynthetic bacterium Rhodobacter capsulatus
Modelling nature: A physicist's viewpoint
Modeling large reversible electric-field-induced strain in ferroelectric materials using 90 degrees orientation switching
Model System for Solid-State NMR Study on Co-condensation Behavior of Silicon Precursors in Periodic Mesoporous Organosilicas
Modeling on Heat and Mass Transfer in Stored Wheat during Forced Cooling Ventilation
Model of dispersive transport across sharp interfaces between porous materials
Mode-II Crack Problem for a Long Rectangular Slab of Superconductor under an Electromagnetic Force
Modelling study of the impact of isoprene and terpene biogenic emissions on European ozone levels
Modeling of Indoor Thermally Stratified Flows on the Basis of Eddy Viscosity/Diffusivity Model: State of the Art Review
Modes of entry of petroleum distilled spray-oils into insects: a review
Modeling force-induced bio-polymer unfolding
Modeling of flow stress in orthogonal micro-cutting process based on strain gradient plasticity theory
Modeling and verifying chlorine decay and chloroacetic acid formation in drinking water chlorination
Modeling atmospheric PM10 concentrations during severe pollution events in southern Taiwan
Model Surface Effects On Power Dissipation
Modeling tsunami hazards from Manila trench to Taiwan
Models of electrolyte solutions from molecular descriptions: The example of NaCl solutions
Modelling Ground-to-Air Coupling for the Shallow M-L 4.3 Folkestone, United Kingdom, Earthquake of 28 April 2007
Mode-locked operation of a diode-pumped femtosecond Yb:SrF2 laser
Modelling the impact of tectonics, surface conditions and sea surface temperatures on Saharan and sub-Saharan climate evolution
Modelling Pressurized Water Reactor cores in terms of porous media
Modeling of Micropitch Shift of a Magnetoelectrical Sensor During Laser Solder Ball Bonding Process
Model of electronical conductivity effective mass of strained Si
Modeling of Switching Ripple Currents (SRCs) for magnetic bearings including eddy current effects
Modeling and simulation of direct torque controlled SPMSM Drive incorporating magnetic saturation saliency
Modeling of relaxation of viscoelastic stresses in multi-layered thin films/substrate systems due to thermal mismatch
Modelling the inactivation of PEF frequency and width for soybean lipoxygenase in soymilk
Modelling the inactivation of PEF time and strength for soybean lipoxygenase in soymilk
Mode-dependent H-infinity filtering for discrete-time Markovian jump linear systems with partly unknown transition probabilities
Modelling Zn(II) sorption onto clayey sediments using a multi-site ion-exchange model
Modeling multi-cellular behavior in epidermal tissue homeostasis via finite state machines in multi-agent systems
Moderate Hypoglycemia is Associated With Vasospasm, Cerebral Infarction, and 3-Month Disability After Subarachnoid Hemorrhage
Mode of Expression and Functional Characterization of FCT-3 Pilus Region-Encoded Proteins in Streptococcus pyogenes Serotype M49
Modeling skin thermal pain sensation: Role of non-Fourier thermal behavior in transduction process of nociceptor
Modeling of a proton exchange membrane fuel cell based on the hybrid particle swarm optimization with Levenberg-Marquardt neural network
Model reduction by Neumann series expansion
Modeling of Mass Transfer in Nonideal Multicomponent Mixture with Maxwell-Stefan Approach
Modeling Stress-Dilatancy for Sand under Compression and Extension Loading Conditions
Modeling of vascular endothelial growth factor receptor 2 (VEGFR2) kinase inhibitory activity of 2-anilino-5-aryloxazoles using chemometric tools
Modeling of nanoparticles' aggregation and sedimentation in nanofluid
Modeling Pancreatic Cancer In Vivo From Xenograft and Carcinogen-Induced Systems to Genetically Engineered Mice
Modeling the effect of time-dependent exposure on intensive care unit mortality
Modeling of molten carbonate fuel cell based on the volume-resistance characteristics and experimental analysis
Model reduction for large-scale dynamical systems via equality constrained least squares
Moderate Dietary Vitamin B-6 Restriction Raises Plasma Glycine and Cystathionine Concentrations While Minimally Affecting the Rates of Glycine Turnover and Glycine Cleavage in Healthy Men and Women
Mode of Delivery in Women with Antepartum Fetal Death and Prior Cesarean Delivery
Modelling anomalous cosmic ray oxygen in the heliosheath
Modeling of 4H-SiC multi-floating-junction Schottky barrier diode
Modeling Extended Twin Family Data II: Power Associated With Different Family Structures
Modeling and synthesis of English regional accents with pitch and duration correlates
Modelling naive T-cell homeostasis: consequences of heritable cellular lifespan during ageing
Modeling Abnormal Strain States in Ferroelastic Systems: The Role of Point Defects
Modeling the impact of alternative drainage practices in the northern Corn-belt with DRAINMOD-NII
Modeling of the growth hormone secretagogue receptor antagonistic activity using chemometric tools
Models and approaches to dissect host-symbiont specificity
Model for dynamic self-assembled magnetic surface structures
Modeling fluid flow in three-dimensional single crystal dendritic structures
Modeling and experimentation of a novel labyrinth bubble photoreactor for degradation of organic pollutant
Modeling Multisystem Biological Risk in Young Adults: The Coronary Artery Risk Development in Young Adults Study
Modelling the black death. A historical case study and implications for the epidemiology of bubonic plague
Modeling and analysis of a molten-salt electrolytic process for nuclear waste treatment
Modeling and monitoring in a soft argillaceous shale tunnel
Modeling and Inferring Cleavage Patterns in Proliferating Epithelia
Modeling the Impact of Lesions in the Human Brain
Model-based reinforcement learning under concurrent schedules of reinforcement in rodents
Modeling elasto-plastic indentation on layered materials using the equivalent inclusion method
Modeling of the effective thermal conductivity of composite materials with FEM based on resistor networks approach
Modelling seasonality of dry matter partitioning and root maintenance respiration in lucerne (Medicago sativa L.) crops
Modelling and Forecasting Oil Prices: The Role of Asymmetric Cycles
Mode Characteristics of the Strongly Anisotropic a-Axis Single-Crystal Fiber
Model reduction using wavelet multiresolution technique applied to fluorescence diffuse optical tomography
Modeling O(P-3) and Ar Scattering from the Ionic Liquid [emim][NO3] at 5 eV with Hybrid QM/MM Molecular Dynamics
Moderate alcohol consumption is associated with reduced long-term cardiovascular risk in patients following a complicated acute myocardial infarction
Modelling collective phenomena in neuroscience
Modeling of nonlinearities in the capacitance-voltage characteristics of high-k metal-insulator-metal capacitors
Modeling and parameter identification for a passive hydraulic mount
Modeling dislocation by coupling Peierls-Nabarro and element-free Galerkin methods
Modeling of density of aqueous solutions of amino acids with the statistical associating fluid theory
Modeling anomalous cosmic ray oxygen gradients over successive solar cycles
Modeling of Thermal-Assisted Dislocation Friction
Model-Free Group Analysis Shows Altered BOLD FMRI Networks in Dementia
Model checking temporal logics of knowledge via OBDDs(1)
Modeling of photoinduced deformation in silicon microcantilevers
Modelling spin transfer torque and magnetoresistance in magnetic multilayers
Model-independent determination of the strong-phase difference between D-0 and (D)over-bar(0) -> KS,L(0)h(+)h(-) (h = pi, K) and its impact on the measurement of the CKM angle gamma/phi(3)
Modelling glass alteration in an altered argillaceous environment
Modeling the neodymium isotopic composition with a global ocean circulation model
Mode of action and choice of antimalarial drugs for intermittent preventive treatment in infants
Modelling of Tumour-Host Coexistence In Vitro in the Presence of Serine Protease Inhibitors
Modeling of the condensation sink term in an interfacial area transport equation
Modeling transfer of heavy metals in soil-rice system and their risk assessment in paddy fields
Model-independent analysis of Airy structures in the O-16+C-12 and O-16+O-16 elastic scattering differential cross sections at 13-22 MeV/nucleon
Modelling optical scattering artefacts for varying pathlength in a gel dosimeter phantom
Modeling Socially Desirable Responding and Its Effects
Model compensation approach based on nonuniform spectral compression features for noisy speech recognition
Modelling water and ion diffusion in clays: A multiscale approach
Moderating the impact of agriculture on climate
Modernising gender, civilising sex: perverse imaginary in the state feminist politics of Liu Yu-hsiu
Modelling of heat transfer and hydrodynamic with two kinetics approaches during supercritical water oxidation process
Mode-Selective Promotion and Isotope Effects of Concerted Double-Hydrogen Tunneling in Porphycene Embedded in Superfluid Helium Nanodroplets
Modelling irradiation effects in fusion materials
Modelling and computer simulation for the manufacture by powder HIPing of blanket shield components for ITER
Modelling and optimisation of fs laser-produced K (alpha) sources
Models of elastic surface displacements within source zones
Modelling and simulation of a transketolase mediated reaction: Sensitivity analysis of kinetic parameters
Modeling the location choices of foreign investments in Chinese logistics industry
Modeling the relaxation of early VLF perturbations associated with transient luminous events
Model for the boron-doping dependence of the critical temperature of superconducting boron-doped diamond
Moderate alcohol consumption contributes to women's well-being through the menopausal transition
Modes of rf capacitive discharge in low-pressure sulfur hexafluoride
Modern quantum magnetism by means of neutron scattering
Modeling and conflict detection of crude oil operations for refinery process based on controlled colored timed Petri net
Modelling of a large-scale urban contamination situation and remediation alternatives
Modeling of a Dependence between Human Operators in Advanced Main Control Rooms
Modeling the Effect of Marination and Temperature on Salmonella Inactivation during Drying of Beef Jerky
Modeling and Verifying Concurrent Programs with Finite Chu Spaces
Modeling radiation-induced cell cycle delays
Modelling of bioassay data from a Pu wound treated by repeated DTPA perfusions: Biokinetics and dosimetric approaches
Modelling radial compressive modulus in wound rolls
Modern Tendencies in the Treatment of Patients With High Risk of Development of Cardiovascular Complications and Intolerance to Angiotensin Converting Enzyme Inhibitors: Value of Results of TRANSCEND Trial for Clinical Practice
Modeling hydraulic fractures in finite difference simulators: Application to tight gas sands in Montana
Modeling of thermal effects on photo-dissociation dynamics of diatomic molecular ion HCl+
Modeling of a substrate thermomechanical behavior during plasma spraying
Model algorithm control for path tracking of wheeled mobile robots
Model and Properties of Isothermal Gelling Process for Thixotropic Waxy Crude Oil
Modeling of point defects and rare gas incorporation in uranium mono-carbide
Modeling the spatial pattern of farmland using GIS and multiple logistic regression: a case study of Maotiao River Basin, Guizhou Province, China
Modeling of gate capacitance for deep sub-micron MOSFETs
Modeling Road Traffic Demand of Container Consolidation in a Chinese Port Terminal
Modelling and analysis of time-variant directed interrelations between brain regions based on BOLD-signals
Modelling the 5-year cost effectiveness of tiotropium, salmeterol and ipratropium for the treatment of chronic obstructive pulmonary disease in Spain
Modelling the behavior of 5-aminolevulinic acid and its alkyl esters in a lipid bilayer (vol 463, pg 178, 2008)
Modern problems of tectonophysics
Modeling approaches for strongly non-homogeneous two-phase flows
Modeling the radiation of participating media with coupled finite volume method
Modeling Heat Transport in Thermal Interface Materials Enhanced With MEMS-Based Microinterconnects
Mode-locked and Q-switched laser operation of the Yb-doped Li6Y(BO3)(3) crystal
Modeling of Austenite Decomposition in Low Si-Mn TRIP Steel During Cooling
Modeling of contact surface morphology and dust particles by using finite element method
Modeling and simulation of the production of hydrogen using hydroelectricity in Venezuela
Model independent analysis of polarization effects in elastic electron-deuteron scattering in presence of two-photon exchange
Modeling Nonlinear Evolution of Baryon Acoustic Oscillations: Convergence Regime of N-body Simulations and Analytic Models
Modeling of air quality with a modified two-dimensional Eulerian model: A case study in the Pearl River Delta (PRD) region of China
Modeling urban street patterns
Modeling for temperature compensation and temperature characterizations of BAW resonators at GHz frequencies
Modeling of Three-phase Flows and Behavior of Slag/Steel Interface in an Argon Gas Stirred Ladle
Modelling of inclusion motion and flow patterns in swirling flow tundishes with symmetrical and asymmetrical structures
Modeling of turbulent heat transfer and thermal dispersion for flows in flat plate heat exchangers
Modeling the plastic deformation of olivine by dislocation dynamics simulations
Modelling of radionuclide release from a concrete container
Model simulation of the equatorial electrojet in the Peruvian and Philippine sectors
Modeling of two-dimensional effects in hot spot relaxation in laser-produced plasmas
Modeling capped ferroelectric media for probe storage recording
Modelling the spectral energy distribution of ULIRGs - II. The energetic environment and the dense interstellar medium
Modelling of steady state erosion of CFC actively water-cooled mock-up for the ITER divertor
Modes in a pulse-modulated radio-frequency dielectric-barrier glow discharge
Model for end-stage liver disease-sodium predicts prognosis in patients with chronic severe hepatitis B
Modeling the stress-relaxation behavior of wool fibers
Modelling of a three-phase concentric HTS-cable
Mode coupling in living systems: Implications for biology and medicine
Modeling of the perpendicular polarizer-planar free layer spin torque oscillator: Micromagnetic simulations
Modeling Supply Chain Diagnostics with Fuzzy Dynamic Bayesian Networks
Modeling heat transfer in supercritical fluid using the lattice Boltzmann method
Modelling of hydrogen release from functional materials via cellular automaton
Mode matching and insertion loss in ultrabroadband Cr-doped multimode fibers
Modeling and analysis of an elastic problem with large displacements and small strains
Modeling friction on a mesoscale: Master equation for the earthquakelike model
Modeling of He(N)(+) clusters. II. Calculation of He(3)(+) vibrational spectrum
Moderate-vigorous physical activity and body fatness in Chinese urban school children
Modeling exchange rate passthrough after large devaluations
Modeling Orbit Dynamics of FORMOSAT-3/COSMIC Satellites for Recovery of Temporal Gravity Variations
Model building and optimization of ultrathin silver films by spectroscopic ellipsometry
Model-based approach to quantify production potentials of summer maize and spring maize in the North China Plain
Modeling the fine root biomass dispersion using a special influence function
Mode of action of pentocin 31-1: An antilisteria bacteriocin produced by Lactobacillus pentosus from Chinese traditional ham
Mode-locking transitions in nanostructured weakly disordered lasers
Model dependent analyses on the N-c dependence of the a pole trajectory
Modern genetics, ancient defenses, and potential therapies
Modeling and experimental verification of dielectric constants for three-dimensional woven composites
Modeling of strain-induced precipitation kinetics and evolution of austenite grains in Nb microalloyed steels
Modeling and analyzing biological oscillations in molecular networks
Modelling of cell deaths and cell transformations of inhaled radon in homes and mines based on a biophysical and microdosimetric model
Model of quantum criticality in He(3) bilayers adsorbed on graphite
Model reference adaptive integral sliding mode control for switched delay systems
Modelling and predicting the effect of temperature, water activity and pH on growth of Streptococcus iniae in Tilapia
Modeling Ar and Kr matrix effect on the nu(s) (Cl - H) and nu(l) (Cl - H) of Cl - H center dot center dot center dot NH(3) by the IEF-PCM method
Modeling the radiation pattern of LEDs
Modelling of magnetization reversal for long ferromagnetic nanotubes
Moderating effects of task characteristics on information source use: An individual-level analysis of R&D professionals in new product development
Modeling the role of irrigation in winter wheat yield, crop water productivity, and production in China
Modeling of elemental carbon over Europe
Modeling carbonaceous aerosol over Europe: Analysis of the CARBOSOL and EMEP EC/OC campaigns
Model and numerical simulations of the propagation and absorption of a short laser pulse in a transparent dielectric material: Blast-wave launch and cavity formation
Modeling of nonlinear oscillations for viscoelastic moving belt using generalized Hathilton's principle
Modeling of Blue Phase Liquid Crystal Displays
Modelling the plastic deformation during high-temperature creep of a powder-metallurgy coarse-grained superalloy
Modelling precipitate distribution in reduced-activation steels
Modeling and optimization of a typical fuel cell-heat engine hybrid system and its parametric design criteria
Modeling the short-circuit current density of polymer solar cells based on P3HT : PCBM blend
Model for impurity generation, transport and deposition in the complex CIEL environment
Modelling of long term kinetic evolution: a fruitful relationship between experiment and theoretical development
Modelling accelerating growth with intermittent processes in evolving networks
Modeling the Effect of Polymerization Rate on the Intraparticle Mass and Heat Transfer during Propylene Polymerization in a Loop Reactor
Modeling of bias anomaly in (Ga,Mn)As tunneling magnetoresistance structures
Modeling and parameter estimation for hydraulic system of excavator's arm
Modelling of a Nd : YAG laser Q-switched by a scanning interferometric mirror
Modeling of solutal dendritic growth with melt convection
Models for binding cooperativities of inhibitors with transthyretin
Modeling based on subspace orthogonal projections for QSAR and QSPR research
Modeling the auditory scene: predictive regularity representations and perceptual objects
Modeling of water activity, oxygen solubility and density of sugar and sugar alcohol solutions
Model reference adaptive control design for a shunt active-power-filter system
Modes of low-pressure dual-frequency (27/2 MHz) discharges in hydrogen
Modeling of severe deformation and mechanical properties in Mg-3Al-1Zn alloy through asymmetric hot-extrusion
Model-order reduction of large-scale second-order MIMO dynamical systems via a block second-order Arnoldi method
Modeling of multiple noncatalytic gas-solid reactions in a moving bed of porous pellets based on finite volume method
Mode and band analysis of finite dielectric photonic crystals
Modeling of the link between microstructure and effective diffusivity of cement pastes using a simplified composite model
Modeling colon cancer gene logic network with mRNA microarray data
Modelling and analysis of traffic light control systems
Modelling the lifetime costs and health effects of lifestyle intervention in the prevention and treatment of obesity an Switzerland
Modelling accidental releases of dangerous gases into the lower troposphere from mobile sources
Model for radiolysis of water and aqueous solutions of H-2, H2O2 and O-2
Modeling of chloride diffusion in hetero-structured concretes by finite element method
Modeling coastal eutrophication at Florida bay using neural networks
Modes of memory: Early electrophysiological markers of repetition suppression and recognition enhancement predict behavioral performance
Modeling of the evolution of dielectric loss with processing temperature in ferroelectric and dielectric thin oxide films
Modeling and experimental characterization of peak tailing in DNA gel electrophoresis
Modes of photorefractive surface waves
Modeling of ultrashort laser pulse-train processing of metal thin films
Model based evaluation of bridge decks using ground penetrating radar
Mode identification of high-quality-factor single-defect nanocavities in quantum dot-embedded photonic crystals
Modeling of the concentration polarization in cross-flow microfiltration of highly viscous media
Models of heterogeneous hydrodynamic coagulation in the presence of a second solvent
Modeling diffusion on heterogeneous lattices: honeycomb lattice
Modeling and optimization of the moving granular bed for combined hot gas desulfurization and dust removal
Model on simplified condition of welding robot system
Modeling of evoked potentials of electroencephalograms: An overview
Modelling and estimating the reliability of stochastic dynamical systems with Markovian switching
Models of opinion formation: Influence of opinion leaders
Model-driven analysis and synthesis of textual concrete syntax
Modelling the structure of GaAs and InAs nanowires
Modeling characteristics of nonequilibrium processes during breakdown of capacitive rf argon glow discharge
Modeling and simulation of hermetically sealed electromagnetic relay under mechanical environment
Modeling an efficient Brownian heat engine
Modelling of icosahedral viruses
Model of intense pulsed ion beam and simulation study of energy deposited on target
Modeling research on the sorption kinetics of pentachlorophenol (PCP) to sediments based on neural networks and neuro-fuzzy systems
Model test on underground coal gasification (UCG) with low-pressure fire seepage push-through. Part II: Oxygen-enriched fire seepage and analysis
Model test on underground coal gasification (UCG) with low-pressure fire seepage push-through. Part I: Test conditions and air fire seepage
Modeling of composite coatings in plasma spraying
Modeling, simulation and experimental verification of inclined UV lithography for SU-8 negative thick photoresists
Modeling the growth limit of the Changjiang Delta
Modeling the spectral energy distribution of ULIRGs - I. The radio spectra
Modelling industrial scale high-pressure-low-temperature processes
Modeling of short-pulse generation by Yb3+: YAG crystal in an active continuous-wave-injected ring cavity using different end-pump methods
Modelling simultaneous games in dynamic logic
Modeling and analysis of source contribution of PM10 during severe pollution events in southern Taiwan
Modeling of adsorption in pores by means of third order plus second order perturbation density functional theory and Monte Carlo simulation
Modelling of the fuel consumption for passenger cars regarding driving characteristics
Modeling, scheduling, and simulation of switched processing systems
Modelling the effect of iron fertilization on dimethylsulphide emissions in the Southern Ocean
Modelling energy and CO2 fluxes with an interactive vegetation land surface model-Evaluation at high and middle latitudes
Modern Homo sapiens skeleton from Qianyang Cave in Liaoning, northeastern China and its U-series dating
Modeling and forecasting energy consumption in China: Implications for Chinese energy demand and imports in 2020
Modeling the marine aragonite cycle: changes under rising carbon dioxide and its role in shallow water CaCO3 dissolution
Modelling NiFe hydrogenases: nickel-based electrocatalysts for hydrogen production
Model optimizing and feature selecting for support vector regression in time series forecasting
Modelling temperature-dependent fracture nucleation of SWCNTs using atomistic-based continuum theory
Moderate dietary intake of myristic and alpha-linolenic acids increases lecithin-cholesterol acyltransferase activity in humans
Modelled effects of precipitation on ecosystem carbon and water dynamics in different climatic zones
Modeled interactive effects of precipitation, temperature, and [CO2] on ecosystem carbon and water dynamics in different climatic zones
Modeling transient adhesive wear of tungsten carbide inserts tested with an angular setting
Modelling multivariate volatilities via conditionally uncorrelated components
Modeling of secondary emission processes in the negative ion based electrostatic accelerator of the International Thermonuclear Experimental Reactor
Modelling of Mechanical Properties of Nanoparticle-Filled Polyethylene
Modeling of multiphase smart hydrogels responding to pH and electric voltage coupled stimuli
Modeling cracking in shell-type reinforced concrete structures
Modernization of upper secondary school in Denmark - Headmasters' reform interpretations and constructions of students
Modeling channel access delay and jitter of IEEE 802.11 DCF
Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets
Moderating effects of entrepreneurial orientation on market orientation-performance linkage: Evidence from Chinese small firms
Model of microbial growth on fresh-cut lettuce treated with chlorinated water during storage under different temperatures
Modeling catalytic mechanism of nitrile hydratase by semi-empirical quantum mechanical calculation
Modeling gene expression regulatory networks with the sparse vector autoregressive model
Modeling the effects of cohesive energy for single particle on the material removal in chemical mechanical polishing at atomic scale
Modeling analysis of the MOCVD growth of ZnO film
Modeling synergistic adsorption of phenol/aniline mixtures in the aqueous phase onto porous polymer adsorbents
Modeling of hard disk drives for shock and vibration analysis - consideration of nonlinearities and discontinuities
Modeling of reinforced concrete sub-frame under cyclic load reversals
Modeling sediment transport in river networks
Modern system of mathematics and special Cauchy theater in its theoretical foundation
Modelling the environmental impact of an aluminium pressure die casting plant and options for control
Modelling species distributions using regression quantiles
Modeling functional neuroanatomy for an anatomy information system
Modelling and Analysis Techniques for Cross-organizational Workflow Systems
Modeling of water sorption isotherm for corn starch
Modeling of wafer topography's chemical-mechanical polishing effect on process
Modeling the excretion of FDG in human kidneys using dynamic PET
Modelling total tail dependence along diagonals
Modelling income effects on long and short haul international travel from Japan
Moderators and mediators of behaviour change in a lifestyle program for treated hypertensives: a randomized controlled trial (ADAPT)
Modelling soil organic carbon dynamics in the major agricultural regions of China
Modern system of mathematics and general Cauchy theater in its theoretical foundation
Modem system of mathematics and a pair of hidden contradictions in its foundation
Modelling hourly and daily open-water evaporation rates in areas with an equatorial climate
Model and experimental investigation of frequency conversion in AgGaGexS2(1+x) (x=0, 1) crystals
Modeling focusing characteristics of low F-number diffractive optical elements with continuous relief fabricated by laser direct writing
Modern transformation of Yao nationality villages - Introduction
Modern transformation of environmental sanitation in Yao nationality villages - The Red Yao of Longsheng county
Model analysis of grazing effect on above-ground biomass and above-ground net primary production of a Mongolian grassland ecosystem
Models and algorithms for multi-crane oriented scheduling method in container terminals
Modeling of multi-layer circuit boards by using a model of bi-phase and elasto-plastic plies
Models of liver fibrosis: exploring the dynamic nature of inflammation and repair in a solid organ
Modeling and simulation of chemo-electro-mechanical behavior of pH-electric-sensitive hydrogel
Modeling the Distribution of Sentence Length Decisions Under a Guidelines System: An Application of Quantile Regression Models
Modeling and characterization of nitrogen-enhanced negative-bias temperature instability in p-channel MOSFETs
Modeling segregation distortion for viability selection I. Reconstruction of linkage maps with distorted markers
Modeling autocorrelation functions of long-range dependent teletraffic series based on optimal approximation in Hilbert space - A further study
Moderate hypothermia induces a preferential increase in pancreatic islet blood flow in anesthetized rats
Modeling a layer 4-to-layer 2/3 module of a single-column in rat neocortex: Interweaving in vitro and in vivo experimental observations
Modeling the solids conveying zone of a novel extruder
Modeling of S-band amplification for Tm3+-doped fluoride fiber with cooperative lasing at 1.8 mu m
Modelling dimensionality in species abundance distributions: description and evaluation of the Gambin model
Modeling quantitative structure property relationships with a semi-empirical topological descriptor and path numbers
Modeling N transformation and removal in a duckweed pond: Model application
Mode III crack problem in a functionally graded magneto-electro-elastic strip
Modeling market orientation and organizational antecedents in a social marketing context Evidence from China
Modeling of cooking-emitted particle dispersion and deposition in a residential flat: A real room application
Modeling plant carbon flow and grain starch accumulation in wheat
Moderated statistical tests for assessing differences in tag abundance
Modeling and optimization of Nd : YAG laser micro-weld process using Taguchi Method and a neural network
Modeling and analysis of a hybrid optical switching system with delay buffer and wavelengths classification
Modeling of thermodynamic properties and phase equilibria for the Cu-Mg binary system
Modeling signalized intersection safety with corridor-level spatial correlations
Modelling and simulation of signal transductions in an apoptosis pathway by using timed Petri nets
Modeling and analysis of cutting force in turning of GFRP composites by CBN tools
Modeling dust emissions and transport within Europe: the Ukraine March 2007 event
Modelling the broad-band spectral energy distribution of the microquasars XTE J1550-564 and H 1743-322
Modeling and simulation of piezoelectric MEMS energy harvesting device
Model extension and improvement for simulator-based software safety analysis
Modeling Criminal Law
Modeling and rendering of heterogeneous translucent materials using the diffusion equation
Modelling circadian rhythms of protein KaiA, KaiB and KaiC interactions in cyanobacteria
Modeling of the helium-heated steam reformer for HTR-10
Model of refractive-index changes in lithium niobate waveguides fabricated by ion implantation
Moderate hypothermia with low flow rate cardiopulmonary bypass used in surgeries for congenital heart defects
Modeling of multiple cycles for sorption-enhanced steam methane reforming and sorbent regeneration in fixed bed reactor
Modelling aging effects on a thermal cycling absorption process column
Modeling the wetting effects in droplet impingement using particle method
Modern Furniture: 150 Years of Design
Modeling on electrokinetic phenomena of charged porous membrane
Model of surface segregation driving forces and their coupling
Modernization of the Organ Transplantation Program in China
Modeling shrinkage of portland cement paste
Modeling and control of an atomic force microscope using a piezoelectric tuning fork for force sensing
Modelling to extract more information from clinical trials data: On some roles for the bootstrap
Modelling of pressure-strain correlation in compressible turbulent flow
Modelling of fluid support inside articular cartilage during sliding
Modeling of drag with the Eulerian approach and EMMS theory for heterogeneous dense gas-solid two-phase flow
Modeling particle dispersion in personalized ventilated room
Modeling collective motion: variations on the Vicsek model
Modeling the Static Vertical Force of the Core-Type Permanent-Magnet Planar Motor
Modeling Power Saving for GAN and UMTS Interworking
Modeling in biomedical informatics - An exploratory analysis - Part 2
Modeling and backstepping-based nonlinear control strategy for a 6 DOF quadrotor helicopter
Modeling of Soot and Polycyclic Aromatic Hydrocarbons in Diesel Diffusion Combustion
Moderators of Sexual Behavior in Gay Men
Modeling, identification and control of air-conditioning systems
Modelling of spreading process: effect from hydrogen bonds
Modeling of a 5-cell direct methanol fuel cell using adaptive-network-based fuzzy inference systems
Models for Predicting Project Performance in China Using Project Management Practices Adopted by Foreign AEC Firms
Modeling and simulation of muscle forces of trans-tibial amputee to study effect of prosthetic alignment
Model uncertainty and asset return predictability: an application of Bayesian model averaging
Modeling strategic semiconductor assembly outsourcing decisions based on empirical settings
Modelling of the thermosolutal convection and macrosegregation in the solidification of an Fe-C binary alloy
Modelling of passively Q-switched lasers with intracavity Raman conversion
Modelling and verification of program logic controllers using timed automata
Model-free analysis for large proteins at high magnetic field strengths
Modeling, assessment, and control of indoor air quality
Modeling the effects of cutting parameters in MQL-employed finish hard-milling process using D-optimal method
Modeling UMTS power saving with bursty packet data traffic
Modeling convective drying of wet cake
Modeling and control of circulating currents for parallel three-phase boost rectifiers with different load sharing
Modeling of Q-switched lasers with top-hat pump beam distribution
Modeling capacitated location-allocation problem with fuzzy demands
Modest human immunodeficiency virus coreceptor function of CXCR3 is strongly enhanced by mimicking the CXCR4 ligand binding pocket in the CXCR3 receptor
Modeling the phase separation in binary lipid membrane under externally imposed oscillatory shear flow
Modeling investigation of gradient electrolyte films deposited via convection-diffusion on porous electrode substrates
Modelling pre-service teachers' perceived usefulness of an ICT-based student-centred learning (SCL) curriculum: a Singapore study
Modern Anti-Semitism and Anti-Israeli Attitudes
Modeling and optimizing the performance of a passive direct methanol fuel cell
Modeling uptake kinetics of cadmium by field-grown lettuce
Modeling the effect of stretch and plasma membrane tension on Na+-K+-ATPase activity in alveolar epithelial cells
Modeling and coordinate control of circulating currents in parallel three-phase boost rectifiers
Modeling topology and nonlinear dynamical behavior of the weighted scale-free networks
Model and parameters identification of non-linear joint by Force-State Mapping in frequency domain
Modeling of ionic transport in electric-stimulus-responsive hydrogels
Modes of presentation and pathways to diagnosis of colorectal cancer in Queensland
Modeling of Residual Stresses in Functionally Gradient Al(2)O(3) Coating on 316L Substrate
Modeling of oil production by gravity drainage
Mode and gain analysis for symmetric and staggered grating-waveguide free-electron laser
Modelling of deformation and failure of crash toughened adhesive bonded lap shear joints
Modeling semiconductor testing job scheduling and dynamic testing machine configuration
Model for N2O monopropellant thruster operation
Model for simulation and optimization of liquid crystal displays cell thinning process
Modeling of an ionic polymer-metal composite beam on human tissue
Model-based estimation of quantitative ultrasound variables at the proximal femur
Moderate alcohol drinking might be protective for systemic lupus erythematosus: a systematic review and meta-analysis
Modelling cost-effectiveness in osteoporosis
Modeled structure of trypanothione reductase of Leishmania infantum
Mode of conception of twin pregnancies: Willingness to reply to survey items and comparison of survey data to hospital records
Modelling biological rhythms
Modeling the segmentation clock as a network of coupled oscillations in the Notch, Wnt and FGF signaling pathways
Model predictive control of water management in PEMFC
Modeling contextual modulation in the primary visual cortex
Modeling of vapor sorption in glassy polymers using a new dual mode sorption model based on multilayer sorption theory
Modeling of photolithography process in semiconductor wafer fabrication systems using extended hybrid Petri nets
Model-based neural network correlation for refrigerant mass flow rates through adiabatic capillary tubes
Model-assisted analysis of tomato fruit growth in relation to carbon and water fluxes
Model-based analysis of the ZrO2 etching mechanism in inductively coupled BCl3/Ar and BCl3/CHF3/Ar Plasmas
Modeling and simulation of combined pyrolysis and reduction zone for a downdraft biomass gasifier
Model of 60GHz millimeter wave with four variables
Modes of reproduction in recent and fossil cupuladriid bryozoans
Modeling with NURBS surfaces used for the calculation of RCS
Moderate deviations for the quenched mean of the super-Brownian motion with random immigration
Modeling a SOFC stack based on GA-RBF neural networks identification
Modest cortex and promiscuous medulla for thymic repertoire formation
Modeling the potential distribution of shallow-seated landslides using the weights of evidence method and a logistic regression model: a case study of the Sabae Area, Japan
Modeling multisource multiuser water resources allocation
Model-based prediction of sequence alignment quality
Modeling of reactive kinetics in the metal surface contaminant cleaning using atmospheric pressure plasma arc
Modeling ecological niches and predicting geographic distributions: a test of six presence-only methods
Modeling influence of basic operation parameters on plasma jet
Modeling correlation indices between bladder and Foleys catheter balloon dose with CT-based planning using limited CT slices in intracavitary brachytherapy for carcinoma of cervix
Modeling of skin thermal pain: A preliminary study
Modeling and parameter identification of microbial bioconversion in fed-batch cultures
Modeling and finite element analysis of rod and wire steel rolling process
Modeling of three-dimensional cutting forces in micro-end-milling
Modeling and analysis of software aging and software failure
Modeling of system dynamics of a slewing flexible beam with moving payload pendulum
Modeling dynamic recrystallization of pure copper using cellular automaton method
Modelling and predicting fungal distribution patterns using herbarium data
Modeling and analyzing a joint optimization policy of block-replacement and spare inventory with random-leadtime
Modeling network traffic using generalized Cauchy process
Modeling and control of a small solar fuel cell hybrid energy system
Mode of action of human beta-defensin 3 against Staphylococcus aureus and transcriptional analysis of responses to defensin challenge
Modelling the behavior of 5-aminolevulinic acid and its alkyl esters in a lipid bilayer
Moderate deviations and law of the iterated logarithm in L-1(R-d) for kernel density estimators
Model-based analysis of the silica glass film etch mechanism in CF(4)/O(2) inductively coupled plasma
Modeling the effects of oxidizer, complexing agent and inhibitor on material removal for copper chemical mechanical polishing
Model-Based Analysis of Plasma Parameters and Active Species Kinetics in Cl(2)/X (X = Ar, He, N(2)) Inductively Coupled Plasmas
Modeling DNA-binding of Escherichia coli sigma(70) exhibits a characteristic energy landscape around strong promoters
Modeling of the residence time distribution in a buss kneader with a back-propagation neural network
Modeling and control of AWS-based wave energy conversion system integrated into power grid
Modeling and stability analysis of grey-fuzzy predictive control
Modeling size and surface effects on ZnS phase selection
Model for cascading failures in congested Internet
Modeling and analysis of compliant sheet metal assembly variation
Modeling clonal expansion from M-FISH experiments
Model consisting of ultrasonographic and simple blood indexes accurately identify compensated hepatitis B cirrhosis
Modeling and analyzing high-speed and high-density connectors by using multisegment multiple transmission lines model
Modeling, optimization and performance of high-Q MEMS solenoid inductors
Models for prediction of mortality from cirrhosis with special reference to artificial neural network: a critical review
Model-based Assessment of Data Availability in Health Information Systems
Modeling of TG curves of isothermal oxidation of graphite
Modeling of RBAC-based access control of virtual enterprise
Modelling and application of optimal-selection evaluation for manufacturing grid resource
Model-based admission control for IEEE 802.11e enhanced distributed channel access
Moderate hyperkalaemia without ECG changes in the intraoperative period
Modeling of C/EBP alpha mutant acute myeloid leukemia reveals a common expression signature of committed myeloid leukemia-initiating cells
Modeling and numerical demonstration of femtosecond-pulse distortion-free delivery using a three-layered few-mode fiber
Modeling and performance investigation of a closed-type thermoelectric clothes dryer
Modeling and simulation of solid oxide fuel cell based on the volume-resistance characteristic modeling technique
Modelling the SOS response by semi-stochastic simulation
Modeling of a Complementary and Modular Linear Flux-Switching Permanent Magnet Motor for Urban Rail Transit Applications
Modeling and optimization of movement parameters of reciprocating tubular spray depositing
Modeling of fatigue crack growth from a notch
Modeling and controling the FMS of a welding robot
Modeling and analyzing an inductive contactless power transfer system for artificial hearts using the generalized state space averaging method
Modeling specificity in the yeast MAPK signaling networks
Modeling and numerical simulation for the machining of helical surface profiles on cutting tools
Models for the Prediction of Mycophenolic Acid Area Under the Curve Using a Limited-Sampling Strategy and an Enzyme Multiplied Immunoassay Technique in Chinese Patients Undergoing Liver Transplantation
Modeling the effects of toxins in metabolic networks - Abductive and inductive reasoning for learning models of inhibition in biological networks
Modeling variable demand equilibrium under second-best road pricing
Modelling and analysis of micro scale milling considering size effect, micro cutter edge radius and minimum chip thickness
Modelling of flow stress characterizing dynamic recrystallization for magnesium alloy AZ31B
Model test on sand retaining wall reinforced with denti-strip inclusions
Modeling of micro-diameter-scale liquid core optical fiber filled with various liquids
Moderate physical exercise: a simplified approach for ventricular rate control in older patients with atrial fibrillation
Modelling the Evolution of National Economies Based on Input-Output Networks
Modeling mechanisms of unusual benzene imine N6 adduct formation in carcinogenic reactions of arylnitrenium ions with adenosine
Modeling hazardous materials risks for different train make-up plans
Modelling Multi-agent Three-way Decisions with Decision-theoretic Rough Sets
Modeling and analysis of pH-electric-stimuli-responsive hydrogels
Modeling and analysis of skidding and slipping in wheeled mobile robots: Control design perspective
Modeling individual-level heterogeneity in racial residential segregation
Model of transfer tax on transboundary water pollution in China%26apos;s river basin
Modeling Environmental Impacts of Urban Expansion: A Systematic Method for Dealing with Uncertainties
Modeling future vehicle sales and stock in China
Modeling, design, development and evaluation of a hypervideo presentation for digital systems teaching and learning
Modelling and analysis of oil import tariff and stockpile policies for coping with supply disruptions
Modeling the impact of individuals' characteristics and library service levels on high school students' public library usage: A national analysis
Modeling temperature entrainment of circadian clocks using the Arrhenius equation and a reconstructed model from Chlamydomonas reinhardtii
Model-based identification and use of task complexity factors of human integrated systems
Model for correcting global solar irradiance measured with rotating shadowband radiometer
Model Error and Structural Reliability for Reinforced Concrete Block Masonry Walls in Shear
Modeling plastic deformation effect on magnetization in ferromagnetic materials
Modeling of radiation losses in ultrahigh power laser-matter interaction
Model fusion for prediction of apple firmness using hyperspectral scattering image
Modeling and levitation control of a novel M-DOF actuator based on neural network
Modeling the operating costs for petroleum exploration and development projects
Modeling and Simulation of the Cooling Process of Borosilicate Glass
Modelling the influence of temperature on accelerated leaching in ammonium nitrate
Modeling and experimentation of a passive low frequency nanoforce sensor based on diamagnetic levitation
Modeling trophic structure and energy flows in a typical macrophyte dominated shallow lake using the mass balanced model
Modeling detonation in liquid explosives: The effect of the inter-component transfer hypothesis on chemical lengths and critical diameters
Modelling the effects of steel corrosion in concrete, induced by carbon dioxide penetration
Modeling Seasonal Rabies Epidemics in China
Modeling Adsorption of Cu(II) Using Polyaniline-Coated Sawdust in a Fixed-Bed Column
Modeling and Performance Evaluation of BPEL Processes: A Stochastic-Petri-Net-Based Approach
Model Test on Deformation and Failure of Excavated Anti-dip Slope under Seismic Loading
Modeling Smart Structure of Wind Turbine Blade
Modeling and Simulation of Polarimetric Hyperspectral Imaging Process
Modeling the Impact of Integrating HIV and Outpatient Health Services on Patient Waiting Times in an Urban Health Clinic in Zambia
Modelling LAI, surface water and carbon fluxes at high-resolution over France: comparison of ISBA-A-gs and ORCHIDEE
Modelling the mid-Pliocene Warm Period climate with the IPSL coupled model and its atmospheric component LMDZ5A
Modeling the influence of information on the coevolution of contact networks and the dynamics of infectious diseases
Modeling the Interaction between Integrin-Binding Peptide (RGD) and Rutile Surface: The Effect of Cation Mediation on Asp Adsorption
Modelling the impact of urban form on household energy demand and related CO2 emissions in the Greater Dublin Region
Modelling of impingement phenomena for molten metallic droplets with low to high velocities
Modeling income inequality and openness in the framework of Kuznets curve: New evidence from China
Modeling the climate impact of road transport, maritime shipping and aviation over the period 1860-2100 with an AOGCM
Moderate Intensities of Leisure-Time Physical Activity Are Associated With Lower Levels of High-Sensitivity C-Reactive Protein in Healthy Middle-Aged Men
Modelling the growth of crustacean species
Modeling the hydration of mono-atomic anions from the gas phase to the bulk phase: The case of the halide ions F-, Cl-, and Br-
Model-free nuclear magnetic resonance study of intermolecular free energy landscapes in liquids with paramagnetic Ln(3+) spotlights: Theory and application to Arg-Gly-Asp
Modeling of the Thermal State Change of Blast Furnace Hearth With Support Vector Machines
Modeling the effects of particle deformation in chemical mechanical polishing
Modeling of asymmetric pulsed phenomena in dielectric-barrier atmospheric-pressure glow discharges
Modeling electric charge distribution on insulator under electron bombardment: Case of rectangular surface implantation
Modeling of Intermediate Structures and Chain Conformation in Silica-Latex Nanocomposites Observed by SANS During Annealing
Mode coherence measurements across a 1.5 THz spectral bandwidth of a passively mode-locked quantum dash laser
Modelling of time-dependent dielectric barrier breakdown mechanisms in MgO-based magnetic tunnel junctions
Model of a Six Immunoglobulin-Like Domain Fragment of Filamin A (16-21) Built Using Residual Dipolar Couplings
Moderate deviations for M-estimators in linear models with -mixing errors
Modeling and analysis of the migration of HTO and (NP)-N-237 in a fractured granite core at the Aspo hard rock laboratory
Modeling Potential Equilibrium States of Vegetation and Terrestrial Water Cycle of Mesoamerica under Climate Change Scenarios
Models of Stephan%26apos;s Quintet: hydrodynamic constraints on the group%26apos;s evolution
Modeling of energy confinement improvement in high power JET discharges with neon seeding
Model Selection of Zero-Inflated Generalized Power Series Distribution with Missing Responses
Model selection of generalized partially linear models with missing covariates
Moderate deviations for a risk model based on the customer-arrival process
Modeling the Cost-effectiveness of Strategies for Treating Esophageal Adenocarcinoma and High-grade Dysplasia
Modeling and analysis of a magnetically levitated synchronous permanent magnet planar motor
Modeling evidences for global warming, Arctic seawater freshening, and sluggish oceanic circulation during the Early Toarcian anoxic event
Model for the Mass Transport during Metal-Assisted Chemical Etching with Contiguous Metal Films As Catalysts
Modeling mechanical strains and stresses in soft tissues of the shoulder during load carriage based on load-bearing open MRI
Modeling and prediction of transmission laser bonding process between titanium coated glass and PET based on response surface methodology
Modeling of Biological Intelligence for SCM System Optimization
Model selection in binary and tobit quantile regression using the Gibbs sampler
Modelling the effects of plastic mulch on water, heat and CO2 fluxes over cropland in an arid region
Modeling and Representation of Human Hearts for Volumetric Measurement
Model evaluation and ensemble modelling of surface-level ozone in Europe and North America in the context of AQMEII
Modeling study of ignition characteristics and oxygen migration pathways of methyl butanoate
Mode of Action and Effects of Standardized Collaborative Disease Management on Mortality and Morbidity in Patients With Systolic Heart Failure The Interdisciplinary Network for Heart Failure (INH) Study
Modelling of internal environmental conditions in a full-scale commercial pig house containing animals
Model indedpendent search for new phenomena in p(p)over-bar collisions at root s=1.96 TeV
Mode I crack in an elasto-perfectly plastic material under pore water pressure of a finite medium
Modeling Residual Circulation and Stratification in Oujiang River Estuary
Modelling Psychological Responses to the Great East Japan Earthquake and Nuclear Incident
Modeled Dosage-Response Relationship on the Net Photosynthetic Rate for the Sensitivity to Acid Rain of 21 Plant Species
Model membrane studies for characterization of different antibiotic activities of lipopeptides from Pseudomonas
Modeling of ferroelectric switching process in poly(vinylidene fluoride-trifluoroethylene) copolymer ultrathin films with electroactive interlayer
Modeling of 3D Structures of Pseudorabies Virus(PRV) Thymidine Kinase and Its Ligand Designing
Modeling self-healing efficiency on cracks due to unhydrated cement nuclei in cementitious materials: splitting crack mode
Model reference adaptive control for a hydraulic underwater manipulator
Modeling and safety strategy of passenger evacuation in a metro station in China
Modeling Optimal Scheduling for Pumping System to Minimize Operation Cost and Enhance Operation Reliability
Modelling of brain consciousness based on collaborative adaptive filters
Modelling the Tensile Properties of Modal/Polyurethane Core-spun Stretch Yarn
Moderate intensity aerobics training improves pulmonary function in young Indian men
Modeling Epidermal Melanoma in Mice: Moving into New Realms but with Unexpected Complexities
Modeling of stability constants of mono-complexes of La3+, Ce3+, Pr3+, and Nd3+ with carboxylic acids in water-dioxane solutions by using connectivity index (3)chi(v)
Modelling a ciliopathy: Ahi1 knockdown in model systems reveals an essential role in brain, retinal, and renal development
Model organic compounds differ in priming effects on alkalinity release in soils through carbon and nitrogen mineralisation
Modeling of Ant Colony's Labor Division for the Multi-Project Scheduling Problem and Its Solution by PSO
Modeling of diffusion-reaction behavior of sulfate ion in concrete under sulfate environments
Modeling atomization of a round water jet by a high-speed annular air jet based on the self-similarity of droplet breakup
Modeling and control of McKibben artificial muscle enhanced with echo state networks
Modelling the dynamics of Plasmodium falciparum histidine-rich protein 2 in human malaria to better understand malaria rapid diagnostic test performance
Modeling rock failure using the numerical manifold method followed by the discontinuous deformation analysis
Modeling ductal carcinoma in situ: a HER2-Notch3 collaboration enables luminal filling
Model of Mass and Heat Transfer during Vacuum Freeze-Drying for Cornea
Model tests on installation techniques of suction caissons in a soft clay seabed
Model and experimental verification on actuator of magnetically controlled shape memory alloy
Modeling of pedestrian evacuation based on the particle swarm optimization algorithm
Modeling low Reynolds number incompressible flows with curved boundaries using SPH
Modeling and simulation of three-dimensional planar contraction flow of viscoelastic fluids with PTT, Giesekus and FENE-P constitutive models
Modeling of spontaneous hydrogen evolution in a direct methanol fuel cell
Modeling of end-pumped Yb:YAG thin-disk lasers with nonuniform temperature distribution
Model Branched Polymers: Synthesis and Characterization of Asymmetric H-Shaped Polybutadienes
Models and estimators linking individual-based and sample-based rarefaction, extrapolation and comparison of assemblages
Model Aquatic Health Code (MAHC) and International Swimming Pool and Spa Code (ISPSC)
Modeling gap times between recurrent events by marginal rate function
Modeling and Estimation of One-Shot Random Access for Finite-User Multichannel Slotted ALOHA Systems
Modeling and Development of Novel Giant Magnetostrictive Transducer for Large Block Concrete Testing
Mode I fracture initiation in limestone by strain energy density criterion
Modeling and Stability Analysis of an Extended Cooperative-Driving Car Following Model Based on Intelligent Transportation System
Modeling and simulation of weaving pedestrian flow in subway stations
Modelling and recognition of a driver's starting intentions
Modeling Agricultural Supply Response Using Mathematical Programming and Crop Mixes
Modeling parameters of structured clays as a multivariate normal distribution
Modeling and Parameter Identification for Rubber Bearings under Random Excitation
Modelling of the micro-channelling process on glasses using an abrasive slurry jet
Modeling of ammonia conversion rate in ammonia synthesis based on a hybrid algorithm and least squares support vector regression
Modeling the Forward CDS Spreads with Jumps
Modelling and implementation of manufacturing direct labour allocation: a case study in semiconductor production operations
Model analysis of experiments of implosion symmetry on Shenguang-II and Shenguang-III prototype laser facilities
Modeling Transfer of Dialkyl Organotins from PVC Pipe into Walter: Comments on "Predicting the Migration Rate of Dialkyl Organotins from PVC Pipe into Water"
Modeling, Control and Implementation of a Lithium-ion Battery Charger in Electric Vehicle Application
Modern Lineages of Mycobacterium tuberculosis Exhibit Lineage-Specific Patterns of Growth and Cytokine Induction in Human Monocyte-Derived Macrophages
Modeling and analysis of thrust force for EPB shield tunneling machine
Modeling and Experiment of an Active Pneumatic Vibration Isolator according to ISO 6358
Modeling and simulation of biodiesel production using a membrane reactor integrated with a prereactor
Modeling and quantitatively predicting software security based on stochastic Petri nets
Modeling water table changes in boreal peatlands of Finland under changing climate conditions
Modeling analysis and experimental study on the optical fiber hydrogen sensor based on Pd-Y alloy thin film
Modeling and Control of a Modular Multilevel Converter-Based HVDC System Under Unbalanced Grid Conditions
Modeling and validation of approach to criticality and axial flux profile experiments at the Missouri S%26T Reactor (MSTR)
Modelling of a deteriorating system with repair satisfying general distribution
Modelling for sound annoyance evaluation of vehicle noise based on neural network
Model tests on interaction between soil and geosynthetics subjected to localized subsidence in landfills
Modeling of the fibrin agarose plate assay and its application for thrombolytic analysis
Modeling and Experimental Study of a Low-Frequency-Vibration-Based Power Generator Using ZnO Nanowire Arrays
Modeling Chemical Interaction Profiles: I. Spectral Data-Activity Relationship and Structure-Activity Relationship Models for Inhibitors and Non-inhibitors of Cytochrome P450 CYP3A4 and CYP2D6 Isozymes
Modeling Chemical Interaction Profiles: II. Molecular Docking, Spectral Data-Activity Relationship, and Structure-Activity Relationship Models for Potent and Weak Inhibitors of Cytochrome P450 CYP3A4 Isozyme
Modelling the spectral emission of multi-section quantum dot superluminescent light-emitting diodes
Modeling of flow-induced crystallization in blends of isotactic polypropylene and poly(ethylene-co-octene)
Modeling Temperature Dependency of Amine Basicity Using PCM and SM8T Implicit Solvation Models
Modelling the transmission dynamics of meticillin-resistant Staphylococcus aureus in Beijing Tongren hospital (vol 79, pg 302, 2011)
Modelling of composite crystallization
Modeling of stability of the condensing interface in a capillary pumped loop
Modeling Spring Habitat Requirements of the Endangered Brown Eared Pheasant Crossoptilon mantchuricum in the Huanglong Mountains, Shaanxi Province, China
Model Discussion of Transpiration Cooling with Boiling
Modeling semantic information in engineering applications: a review
Modeling and simulation of large-scale ductile fracture in plates and shells
Modeling frequency modulated responses of midbrain auditory neurons based on trigger features and artificial neural networks
Model Reference Control for an Economic Growth Cycle Model
Modeling and control of the vibration of two beams coupled with fluid and active links
Modeling study on the properties of GaN/AlN core/shell nanowires by surface effect suppression
Modeling of the thermal fluid flow and keyhole shape in stationary plasma arc welding
Models of the pharmacophoric pattern and affinity trend of methyl 2-(aminomethyl)-1-phenylcyclopropane-1-carboxylate derivatives as sigma(1) ligands
Modeling visco-elastic-plastic deformation of soil with modified Merchant model
Modeling the interaction of seven bisphosphonates with the hydroxyapatite(100) face
Modeling an optical magnetometer with electronic circuits - analysis and optimization
Modeling experimental cross-transiograms of neighboring landscape categories with the gamma distribution
Modelling of mass transfer from multiple emulsions
Modeling gradient elution in countercurrent chromatography: Efficient separation of tanshinones from Salvia miltiorrhiza Bunge
Modeling Dielectric Constant of Semiconductor Nanocrystals
Modeling the Entrapment of Nonmetallic Inclusions in Steel Continuous-Casting Billets
Modeling Insights into Haemophilus influenzae Type b Disease, Transmission, and Vaccine Programs
Modeling the dynamic characteristics of a district heating network
Modeling and segmentation of floating foreground and background in videos
Modelling Growth and Bacteriocin Production by Pediococcus acidilactici PA003 as a Function of Temperature and pH Value
Modeling the thermal Properties of Paper under Compression
Model study on steady heat capacity in driven stochastic systems
Mode Matching for the Electromagnetic Scattering From Three-Dimensional Large Cavities
Modeling Channels in Industrial Wireless Sensor Networks
Modeling and Harmonic Optimization of a Two-Stage Saturable Magnetically Controlled Reactor for an Arc Suppression Coil
Modelling of bubble behaviour in aluminium reduction cells
Modelling for hydrogen diffusion in metals with traps revisited
Modeling of Focused Acoustic Field of a Concave Multi-annular Phased Array Using Spheroidal Beam Equation
Modelling the kinetics of a triple junction
Modelling and analysis of direct-driven permanent magnet synchronous generator wind turbine based on wind-rotor neural network model
Modeling collective blogging dynamics of popular incidental topics
Mode classification and loss mechanism in air-core Bragg fibers
Model for Rolling Angle
Model of the CO oxidation reaction on Au-covered Mo(112)
Modeling discharge length for GA plasma reactor
Model of Secondary ESD for a Portable Electronic Product
Modelling HIV/AIDS and monkeypox co-infection
Modeling moisture sorption isotherms in roasted green wheat using least square regression and neural-fuzzy techniques
Modeling the impact of granular embedding media, and pulling versus pushing cells on growing cell clones
Modeling and simulation of transformer loading capability and hot spot temperature under harmonic conditions
Modelling of current loads on aquaculture net cages
Modelling the maintenance of egg polymorphism in avian brood parasites and their hosts
Modeling droplet vaporization and combustion with the volume of fluid method at a small Reynolds number
Modeling natural convection heat transfer from perforated plates
Modeling the geometric formation and powder deposition mass in laser induction hybrid cladding
Mode of Action of a Family 75 Chitosanase from Streptomyces avermitilis
Modeling CO2 Solubility in Aqueous N-methyldiethanolamine Solution by Electrolyte Modified Peng-Robinson Plus Association Equation of State
Modelling and economic optimisation of under-floor heating system
Modern seismological reassessment and tsunami simulation of historical Hellenic Arc earthquakes
Models Analyses for Allelopathic Effects of Chicory at Equivalent Coupling of Nitrogen Supply and pH Level on F. arundinacea, T. repens and M. sativa
Modeling and identification of frictional forces at a contact interface experiencing micro-vibro-impacts
Modeling the dynamic epigenome: from histone modifications towards self-organizing chromatin
Modeling of Reactive Diffusion: Mechanism and Kinetics of the Intermetallics Growth in Ag/Ag Interconnections
Modeling the chemical kinetics of atmospheric plasma for cell treatment in a liquid solution
Modeling of Crosstalk Effects in Multiwall Carbon Nanotube Interconnects
Modeling of a micro-cantilevered piezo-actuator considering the buffer layer and electrodes
Modeling porosity of high surface area nanopowders of the gallium nitride GaN semiconductor
Modelling formation of disinfection by-products in water distribution: optimisation using a multi-objective evolutionary algorithm
Modeling magnetic nanotubes using a chain of ellipsoid-rings approach
Modeling geminate pair dissociation in organic solar cells: high power conversion efficiencies achieved with moderate optical bandgaps
Modelling of combinable relationship-based composition service network and the theoretical proof of its scale-free characteristics
Model selection and estimation in the matrix normal graphical model
Models of grid cells and theta oscillations Reply
Modeling and simulation of an activated carbon-based botanical air filtration system for improving indoor air quality
Models of Physiology and Physiologically Based Models in Clinical Pharmacology
Modeling and Optimization in Precise Boring Processes for Aluminum Alloy 6061T6 Components
Model-based human gait tracking, 3D reconstruction and recognition in uncalibrated monocular video
Modeling and verification of hybrid dynamic systems using multisingular hybrid Petri nets
Modeling and analysis of planar multibody systems containing deep groove ball bearing with clearance
Modelling and control of a multi-phase permanent magnet synchronous generator and efficient hybrid 3L-converters for large direct-drive wind turbines
Model reduction of bilinear systems based on Laguerre series expansion
Modeling of Membrane Fouling and Flux Decline in Microfiltration of Oily Wastewater Using Ceramic Membranes
Modelling and testing of ageing of short fibre reinforced polymer composites
Model for Adsorption of Ligands to Colloidal Quantum Dots with Concentration-Dependent Surface Structure
Modeling 2-D spatial variation in slope reliability analysis using interpolated autocorrelations
Modeling and analyzing the impact of authorization on workflow executions
Modeling transient groundwater flow by coupling ensemble Kalman filtering and upscaling
Modeling of X-ray pulsar cumulation profile and signal identification
Modeling low elevation GPS signal propagation in maritime atmospheric ducts
Modeling of Phonon Wind Shielding Effects on Moving Dislocation Arrays
Model for Evaluating Silica Coating Thickness Against Atomic-Oxygen Corrosion in Space Materials
Modelling and Simulation of Milling Forces Using an Arbitrary Lagrangian-Eulerian Finite Element Method and Support Vector Regression
Modelling of galactic Carbon in an asymmetrical heliosphere: Effects of asymmetrical modulation conditions
Modeling salinity effects on soil reflectance under various moisture conditions and its inverse application: A laboratory experiment
Modeling the role of sources and sinks for vacancies on the kinetics of diffusive phase transformation in binary systems with several stoichiometric phases
Modelling heliospheric current sheet drift in stochastic cosmic ray transport models
Modeling and Iron-Effect Analysis on Magnetic Field and Torque Output of Electromagnetic Spherical Actuators With Iron Stator
Modeling and analysis of floating performances of stratospheric semi-rigid airships
Model and heuristic algorithm of the joint replenishment problem with complete backordering and correlated demand
Modeling and Hindcasting of the Mass Balance of Werenskioldbreen (Southern Svalbard)
Modelling diffuse instabilities in sands under drained conditions
Modeling and Stability Analysis of Hybrid Dynamical Systems Based on Extended Differential Petri Nets
Modeling of Turbine Cycles Using a Neuro-Fuzzy Based Approach to Predict Turbine-Generator Output for Nuclear Power Plants
Modeling of a Double-stator Linear Induction Motor
Modeling and optimization of surface quality of copper deposits recovered from brass scrap by direct electrowinning
Modeling and control of discrete event systems using finite state machines with variables and their applications in power grids
Modelling and Prediction of 25-Hydroxyvitamin D Levels in Norwegian Relapsing-Remitting Multiple Sclerosis Patients
Modeling the yaw dynamics of an unmanned helicopter through modes partition method
Modeling of void closure in diffusion bonding process based on dynamic conditions
Modeling of coupling mechanisms and frequency separation in double disk resonators
Modeling Three-Dimensional Plasma Arc in Gas Tungsten Arc Welding
Modeling, Analysis and Control of Dual-Arm Cluster Tools With Residency Time Constraint and Activity Time Variation Based on Petri Nets
Modeling the global ionospheric total electron content with empirical orthogonal function analysis
Modeling deformation and contacts of pH sensitive hydrogels for microfluidic flow control
Modeling and analysis for the P2P-based media delivery network
Modeling approach to phenol oxidation by a sand-based packed-bed electrode system (SPBEs)
Modeling of the drain-induced barrier lowering effect and optimization for a dual-channel 4H silicon carbide metal semiconductor field effect transistor
Modeling ground and space based cosmic ray observations
Modeling the Flow and Heat Transfer in a Packed Bed High Temperature Gas-Cooled Reactor in the Context of a Systems CFD Approach
Modelling of multi-period multi-product production planning considering production routes
Model-Assisted Adaptive Recovery of Compressed Sensing with Imaging Applications
Modeling the Effect of Small Gaps in Surface-Enhanced Raman Spectroscopy
Model description of trophodynamic behavior of methylmercury in a marine aquatic system
Modeling the Signatures of Hydrides in Metalloenzymes: ENDOR Analysis of a Di-iron Fe(mu-NH)(mu-H)Fe Core
Modeling and compensation of MEMS gyroscope output data based on support vector machine
Modelling and analysis of distributed networked control systems
Modeling and Analysis of Epidemic Diffusion within Small-World Network
Model optimizes sandstone-conglomerate fracturing in China
Modeling and simulation of catalytic partial oxidation of methane to synthesis gas by using a plasma-assisted gliding arc reactor
Modeling Viscosities of CaO-MgO-FeO-MnO-SiO2 Molten Slags
Modeling Cadmium Transport in Neutral and Alkaline Soil Columns at Various Depths
Modelling of the nanoscale
Modeling carbon allocation in trees: a search for principles
Modelling and inference for epidemic models featuring non-linear infection pressure
Modeling Adaptive Behavior in Influenza Transmission
Modeling the continuous biotechnological process of lactic acid production
Modeling the permeate flux and rejection of nanofiltration membrane separation with high concentration uncharged aqueous solutions
Modeling membrane fouling in a submerged membrane bioreactor by considering the role of solid, colloidal and soluble components
Modeling Study of Anode Water Flooding and Gas Purge for PEMFCs
Modeling fixed triangular valve tray hydraulics using computational fluid dynamics
Modeling the pressure characteristics of parallel chokes used in managed pressure drilling and related experiments
Modelling the combined effect of grain size and grain shape on plastic anisotropy of metals
Modeling the Material Microstructure Effects on the Surface Generation Process in Microendmilling of Dual-Phase Materials
Modeling stochastic project time-cost trade-offs with time-dependent activity durations
Modeling erythroblastic islands: Using a hybrid model to assess the function of central macrophage
Model predictive control of dissolved oxygen concentration based on a self-organizing RBF neural network
Modeling transport and survival of anchoveta eggs and yolk-sac larvae in the coastal zone off central-southern Chile: Assessing spatial and temporal spawning parameters
Modeling Semidiurnal Internal Tide Variability in the Southern California Bight
Modeling of Magnetorheological Fluids by the Discrete Element Method
Modeling Ice-Sheet Flow
Modelling the effect of temperature and damage on the fracture strength of ultra-high temperature ceramics
Modelling experts%26apos; attitudes in group decision making
Model of turbine optimal initial pressure under off-design operation based on SVR and GA
Modeling of slow slip events along the deep subduction zone in the Kii Peninsula and Tokai regions, southwest Japan
Model of Inverse Envelope Cutter with Ring-Involute Tooth
Modeling heterogeneous deviatoric stress field around the hypocentral area of the 2005 Fukuoka earthquake (M7.0) by spatially distributed moment tensors
Modeling multiple elementary waves in layered media using ray field maps
Modeling of shell and tube heat recovery exchanger operated with nanofluid based coolants
Mode Classification and Calculation in All-Solid Photonic Bandgap Fibers
Modeling CO2 miscible flooding for enhanced oil recovery
Modeling to predict the time evolution of negative bias temperature instability (NBTI) induced single event transient pulse broadening
Modelling chestnut biogeography for American chestnut restoration
Modeling of curing processes based on a multi-field potential. Single- and multiscale aspects
Modern development of an Adaptive Non-Intrusive Appliance Load Monitoring system in electricity energy conservation
Modelling of heat affected zone in cylindrical steel elements surfaced by welding
Model selection for partial least squares based dimension reduction
Modeling and numerical analysis of evaporative condensing regenerator
Mode-splitting-based optical label-free biosensing with a biorecognition-covered microcavity
Modeling the Potential Geographic Distribution of Black Pepper (Piper nigrum) in Asia Using GIS Tools
Modelling the multiband spectrum of IGR J17177-3656
Modelling and analysis of detection time trade-offs for channel searching in cognitive radio networks
Modeling Dry Deposition of Aerosol Particles onto Rough Surfaces
Modeling deterministic echo state network with loop reservoir
Modeling spiral-wound membrane modules with applications for gas/vapor permeation
Modeling secondary organic aerosol formation from xylene and aromatic mixtures using a dynamic partitioning approach incorporating particle aqueous-phase chemistry (II)
Modeling of Programmed-Temperature Retention Indices of a Diverse Set of Natural Compounds by Subspace Orthogonal Projection
Modeling the potential global distribution of light brown apple moth Epiphyas postvittana (Lepidoptera: Tortricidae) using CLIMEX
Modeling of Oxygen Transport and Cell Killing in Type-II Photodynamic Therapy
Modeling Ruthenium-Dye-Sensitized TiO2 Surfaces Exposing the (001) or (101) Faces: A First-Principles Investigation
Modeling fuzzy information in UML class diagrams and object-oriented database models
Modeling and Analysis of a Novel Variable Speed Cage Induction Generator (vol 59, pg 1020, 2012)
Modellus: Automated Modeling of Complex Internet Data Center Applications
Modeling the Effects of Initial Spacing on Stand Basal Area Development of Loblolly Pine
Modeling overdispersed or underdispersed count data with generalized Poisson integer-valued GARCH models
Model-Based Multichannel Compressive Sampling with Ultra-Low Sampling Rate
Modeling and Monitoring of Dynamic Processes
Modeling a Dynamic Data Replication Strategy to Increase System Availability in Cloud Computing Environments
Mode field distribution of optical transmission along microfiber affected by CNT films with complex refraction index
Modeling of GPS Code and Carrier Tracking Error in Multipath
Modeling Inductive Behavior of MOSFET Scattering Parameter S-22 in the Breakdown Regime
Modeling of Fatigue Crack Growth Closure Considering the Integrative Effect of Cyclic Stress Ratio, Specimen Thickness and Poisson's Ratio
Model-aided optimization and analysis of multi-component catalysts: Application to selective hydrogenation of cinnamaldehyde
Modeling of multi-junction solar cells for estimation of EQE under influence of charged particles using artificial neural networks
Modeling of NH3-NO-SCR reaction over CuO/gamma-Al2O3 catalyst in a bubbling fluidized bed reactor using artificial intelligence techniques
Model-based dynamic multi-parameter method for peak power estimation of lithium-ion batteries
Modern Microwave Ferrites
Modelling and properties of a nonlinear autonomous switching system in fed-batch culture of glycerol
Modeling and parameter estimation of a nonlinear switching system in fed-batch culture with pH feedback
Modeling of Suspended Sediment Concentration at Kasol in India Using ANN, Fuzzy Logic, and Decision Tree Algorithms
Modeling and Control for a Bidirectional Buck-Boost Cascade Inverter
Modeling of droplet entrainment in co-current annular two-phase flow: A new approach
Model of psychotherapeutic crisis intervention following suicide attempt
Modeling volatile organic compound (VOC) concentrations due to material emissions in a real residential unit. Part I: Methodology and a preliminary case study
Modeling the liquid filling in capillary well microplates for analyte preconcentration
Moderate Deviation for the Single Point Catalytic Super-Brownian Motion
Modern quantitative genetics: Dissecting complex polygenic systems into individual genetic factors
Model tests of cluster separability in relativistic quantum mechanics
Moderate extracellular acidification inhibits capsaicin-induced cell death through regulating calcium mobilization, NF-kappa B translocation and ROS production in synoviocytes
Model Parameters Versus Gas Pressure in Two Different Plasma Focus Devices Operated in Argon and Neon
Modelling and Near-Threshold Computing of Power-Gating Adiabatic Logic Circuits
Modeling of an air motor servo system and robust sliding mode controller design
Modeling and characterization of the electrostatic coupling intra-body communication based on Mach-Zehnder electro-optical modulation
Modelling chromatin structure and dynamics: status and prospects
Modeling and analysis of the spread of computer virus
Modeling brine leakage to shallow aquifer through an open wellbore using T2WELL/ECO2N
Modeling of suction distributions in an unsaturated heterogeneous residual soil slope
Modelling the dynamics of organic carbon in fertilization and tillage experiments in the North China Plain using the Rothamsted Carbon Model-initialization and calculation of C inputs (vol 332, pg 193, 2010)
Model selection for quantitative trait loci mapping in a full-sib family
Modelling 3D control of upright stance using an optimal control strategy
Modeling biodegradation and kinetics of glyphosate by artificial neural network
Modelling of the separation performance and electrokinetic properties of nanofiltration membranes
Modeling and Simulation of Smart and Green Computing Systems INTRODUCTION
Modeling and Analysis of a Novel Variable-Speed Cage Induction Generator
Model and methods for comprehensive measurement of the low-carbon status of China's oil and gas enterprises
Modeling time series of counts with COM-Poisson INGARCH models
Modeling of radiative properties of metallic microscale rough surface
Moderate Accuracy of Peripheral Eosinophil Count for Predicting Eosinophilic Phenotype in Steroid-Naive Non-Atopic Adult Asthmatics
Model Predictive Control of the Grain Drying Process
Models and algorithms for shuffling problems in steel plants
Modeling and Experiment of a Morphing Wing Integrated with a Trailing Edge Control Actuation System
Model predictive control for deeply pipelined field-programmable gate array implementation: algorithms and circuitry
Modeling of electron conduction in contact resistive random access memory devices as random telegraph noise
Modeling transmittance through submicron silver slit arrays
Modeling Component Concentrations of Sodium Aluminate Solution Via Hammerstein Recurrent Neural Networks
Modeling of Rate Error in Interferometric Fiber-Optic Gyroscopes Due to Stress Induced by Moisture Diffusion
Modeling and Optimization of a Coal-Chemical Eco-industrial System in China
Model for simulating the effects of particle size distribution on the hydration process of cement
Moderate cardiac-selective overexpression of angiotensin II type 2 receptor protects cardiac functions from ischaemic injury
Model Assessments of Precipitation with a Unified Regional Circulation Rainfall and Hydrological Watershed Model
Modeling invariant object processing based on tight integration of simulated and empirical data in a Common Brain Space
Model optimizes sandstone-conglomerate fracturing in China (January, pg 84, 2012)
Modelling the Lake Water Environment Impact on Urban System Expansion
Modelling the past: new generation approaches to understanding biological patterns in the fossil record Introduction
Moderate Traumatic Brain Injury Triggers Rapid Necrotic Death of Immature Neurons in the Hippocampus
Mode-Dependent Templates and Scan Order for H.264/AVC-Based Intra Lossless Coding
Model for neutrino masses and dark matter with a discrete gauge symmetry
Modeling cascading failures in complex networks based on radiate circle
Modeling Adaptive Mutation of Enteric Bacteria in Surface Water Using Agent-Based Methods
Modelling dynamical processes in complex socio-technical systems
Modeling Travel Time under the Influence of On-Street Parking
Modelling to support land and water management: experiences from the Mekong River Delta, Vietnam
Modeling the formation of a sand bar within a large funnel-shaped, tide-dominated estuary: Qiantangjiang Estuary, China
Modelling and hydrostatic analysis of contact printing microarrays by quill pins
Modeling of strain hardening and dynamic recrystallization of ZK60 magnesium alloy during hot deformation
Modeling and characteristic analysis of underwater acoustic signal of the accelerating propeller
Modeling of the flue gas desulfurization in a CFB riser using the Eulerian approach with heterogeneous drag coefficient
Modeling and mapping potential epidemics of rice diseases globally
Model predictive control of constrained LPV systems
Modeling and Solution for the Coil Sequencing Problem in Steel Color-Coating Production
Model and Algorithm for Minimization Project Compression Cost under Fuzzy Environments
Modeling and Experimental Study of Oxygen Absorption in Sulfite Solution with Fine Particles
Modeling sediment resuspension and transport induced by storm wind in Apalachicola Bay, USA
Modeling fretting-corrosion wear of 316L SS against poly(methyl methacrylate) with the Point Defect Model: Fundamental theory, assessment, and outlook
Modelling dispersion behavior of low-dimensional carbon nanofillers in liquids
Modeling and design of a capacitive microwave power sensor for X-band applications based on GaAs technology
Modeling and designing of high-gain, wide-band and fast-speed operational transconductance amplifier
Modeling aquifer-system compaction and predicting land subsidence in central Taiwan
Modelling and measurements of the characteristics of ash deposition and distribution in a HRSG of wastewater incineration plant
Modern Nuclear Imaging for Paragangliomas: Beyond SPECT
Modeling of esterification of acetic acid with n-butanol in the presence of Zr(SO4)(2)center dot 4H(2)O coupled pervaporation
Modeling of mechanical properties of as-cast Mg-Li-Al alloys based on PSO-BP algorithm
Model-based adaptive resolution upconversion of degraded images
Modeling and Compressing 3-D Facial Expressions Using Geometry Videos
Modeling cutting edge geometry for plane and curved needle tips
Moderate deviations for some nonparametric estimators with errors in variables
Modeling solute transport and transient seepage in a laboratory beach under tidal influence
Modeling the irreversible response within the ferromagnetic phase of La0.7Sr0.3MnO3
Modelling of plastic deformation and damage in cement-based material subjected to desiccation
Modelling of differential shrinkage of pavement slabs
Model predictive controller design and implementation on FPGA with application to motor servo system
Modelling and simulation of high-frequency (100 MHz) ultrasonic linear arrays based on single crystal LiNbO3
Modeling Impacts of Alternative Practices on Net Global Warming Potential and Greenhouse Gas Intensity from Rice-Wheat Annual Rotation in China
Mode III fracture of a magnetoelectroelastic layer: exact solution and discussion of the crack face electromagnetic boundary conditions
Model reduction for a class of nonstationary Markov jump linear systems
Modeling Neighbor Discovery in Bluetooth Low Energy Networks
Modeling and Analysis of Communication Networks in Multicluster Systems under Spatio-Temporal Bursty Traffic
Modelling of Ar-Hg Electrodeless Lamps
Modelling of hydrogen isotope inventory in mixed materials including porous deposited layers in fusion devices
Mode changes of surface wave on the interface between dielectric rod and microwave plasma with increasing plasma density
Modeling and optimization of high chromium alloy wear in phosphate laboratory grinding mill with fuzzy logic and particle swarm optimization technique
Mode separation of Lamb waves based on dispersion compensation method
Modelling drying processes of fuelbeds of Scots pine needles with initial moisture content above the fibre saturation point by two-phase models
Modeling of Hysteresis in Gene Regulatory Networks
Modeling approach of regression orthogonal experiment design for the thermal error compensation of a CNC turning center
Modeling bi-direction pedestrian flow by cellular automata and complex network theories
Modeling of Axial Magnetic Force and Stiffness of Ring-Shaped Permanent-Magnet Passive Vibration Isolator and Its Vibration Isolating Experiment
Modeling of silicon thermal expansion using strained phonon spectra
Model Wave Functions for the Collective Modes and the Magnetoroton Theory of the Fractional Quantum Hall Effect
Modern Rhodolith-dominated carbonates at Punta Chivato, Mexico
Modeling of the bending strain dependence of the critical current in Bi2223/Ag composite tapes based on the damage stress of the superconducting filament
Models for Gapped Boundaries and Domain Walls
Modeling for point-non-point source effluent trading: perspective of non-point sources regulation in China
Modeling global vector fields of chaotic systems from noisy time series with the aid of structure-selection techniques
Modelling dynamic crack propagation using the scaled boundary finite element method
Modeling and application of MR dampers in semi-adaptive structures
Modeling and Control of a Novel High-Pressure Pneumatic Servo Valve Direct-Driven by Voice Coil Motor
Models of membrane electrostatics
Modeling and optimization of high-technology manufacturing productivity based on PCA SVM and chaos
Modeling Antimicrobial Activity of Lipopeptides from Bacillus amyloliquefaciens ES-2 against Shewanella putrefaciens in Shrimp Meat Using a Response Surface Method
Model for Evaluating the Router Security of Wireless Sensor Network with Interval Grey Linguistic Variables
Modeling dynamic behaviors of a single cell proton exchange membrane fuel cell under different operating conditions
Modeling Soft Errors at the Device and Logic Levels for Combinational Circuits
Modelling of Physical Processes and Assessment of Climate Change Impacts in Great Bear Lake
Modeling integrated CAPP/PPS systems
Modelling the influence of antifreeze proteins on three-dimensional ice crystal melt shapes using a geometric approach
Modeling Large Fire Frequency and Burned Area in Canadian Terrestrial Ecosystems with Poisson Models
Modeling thermal dynamics of active layer soils and near-surface permafrost using a fully coupled water and heat transport model
Modeling Delay During Heavy Traffic for Signalized Intersections with Short Left-Turn Bays
Modeling access control for cyber-physical systems using reputation
Modeling and analysis of passive dynamic bipedal walking with segmented feet and compliant joints
Modeling and analysis of a negative stiffness magnetic suspension vibration isolator with experimental investigations
Modeling Left-Turn Blockage and Capacity at Signalized Intersection with Short Left-Turn Bay
Modeling and optimization of the NOx emission characteristics of a tangentially fired boiler with artificial neural networks
Modelling and segmenting subunits for sign language recognition based on hand motion analysis
Moderate hypothermia prevents cardiac arrest-mediated suppression of drug metabolism and induction of interleukin-6 in rats
Modelling of root ABA synthesis, stomatal conductance, transpiration and potato production under water saving irrigation regimes
Modeling Pedestrian Violation Behavior at Signalized Crosswalks in China: A Hazards-Based Duration Approach
Modeling kinase-substrate specificity: implication of the distance between substrate nucleophilic oxygen and attacked phosphorus of ATP analog on binding affinity
Modeling of tunneling currents through HfO2 and (HfO2)(x) (Al2O3)(1-x) gate stacks
Modeling of effects of nutrient gradients on cell proliferation in microfluidic bioreactor
Modeling and analysis of new processes for polyester and nylon production
Modeling and simulation of stranded passengers'; transferring decision-making on the basis of herd behaviors
Modeling, Architecture, and Applications for Emerging Memory Technologies
Modeling micropatterned antigen-antibody binding kinetics in a microfluidic chip
Modeling shear modulus distribution in magnetic resonance elastography with piecewise constant level sets
Modeling of Gas Thermal Effect Based on Energy Equipartition Principle
Modeling ethylene/butene copolymerization with multi-site catalysts: Parameter estimability and experimental design
Modeling Informatively Missing Genotypes in Haplotype Analysis
Model-population analysis and its applications in chemical and biological modeling
Modern turbulence and new challenges
Modeling and Characteristic Parameters Analysis of a Trough Concentrating Photovoltaic/Thermal System with GaAs and Super Cell Arrays
Modeling plant nitrogen uptake and grain nitrogen accumulation in wheat
Modeling Microscopic Morphology and Mechanical Properties of Block Copolymer/Nanoparticle Composites
Modeling of state parameter and hardening function for granular materials
Modeling and analysis of multi-channel P2P VoD systems
Modelling of water withdrawal for pollutant flushing in the tidal river network, Pearl River Delta, China
Model for an anomalous hollow beam and its paraxial propagation
Model of Elongation of Short DNA Sequence by Thermophilic DNA Polymerase under Isothermal Conditions
Modern analytical techniques in metabolomics analysis
Modeling the impacts of climate change on irrigated corn production in the Central Great Plains
Modeling and analysis of the in-plane vibration of a complex cable-stayed bridge
Model for nanoparticle charging by diffusion, direct photoionization, and thermionization mechanisms
Modeling and Predicting the Central Magnetic Flux Density of the Superconducting Solenoid Surrounded with Iron Yoke via SVR
Modelling and Regularity of Nonlinear Impulsive Switching Dynamical System in Fed-Batch Culture
Modeling and simulation of point-non-point source effluent trading in Taihu Lake area: Perspective of non-point sources control in China
Modeling online social networks based on preferential linking
Modelling triazolophane-halide binding equilibria using Sivvu analysis of UV-vis titration data recorded under medium binding conditions
Modeling of a diode-pumped acousto optically Q-switched intracavity doubling Nd : GdVO4/KTP green laser
Modeling and Hysteresis Compensation in a Thin SMA Wire Using ANFIS Methods
Model and empirical study on some collaboration networks
Modeling stock market based on genetic cellular automata
Modeling electrochemical and metal-phase processes during alkaline aluminum corrosion
Mode recombination and alternation of surface plasmons in anisotropic mediums
Model test study on ice induced vibration of a compliant conical structure
Modeling of burial and subsidence history in Sichuan basin
Modeling Synthesis of Carbon Nanotubes by Using Injection Chemical Vapor Deposition Reactor
Modeling and optimization of an acoustic diode based on micro-bubble nonlinearity
Modeling the change in soil organic carbon of grassland in response to climate change: Effects of measured versus modelled carbon pools for initializing the Rothamsted Carbon model
Modeling kinetics of deformation induced ferrite transformation in Fe-C-Mn alloy
Modeling of microstructure evolution and mechanical properties of steel plates produced by thermo-mechanical control process and its on-line application
Modeling the spatial dynamics of regional land use: The CLUE-S model
Moderating Effects of Nationality and Product Category on the Relationship between Innovation and Customer Equity in Korea and China
Modeling Supravalvular Aortic Stenosis Syndrome With Human Induced Pluripotent Stem Cells
Modeling of nucleic acid adsorption on 3D prisms in microchannels
Modeling user behavior online for disambiguating user input in a spoken dialogue system
Model-based stroke extraction and matching for handwritten Chinese character recognition
Modelling soft-state protocols with SDL
Modeling and investigation on wafer shape in wafer rotational grinding method
Modeling and Implementation of an All Digital Phase-Locked-Loop for Grid-Voltage Phase Detection
Modeling methane emissions from the Alaskan Yukon River basin, 1986-2005, by coupling a large-scale hydrological model and a process-based methane model
Model for predicting catalytic and non-catalytic liquefaction of coal
Modeling Strain-Rate Dependent Behavior of KR0-Consolidated Soft Clays
Model Free Adaptive Control with Disturbance Observer
Modeling the congestion cost and vehicle emission within multimodal traffic network under the condition of equilibrium
Modeling parameters of structured clays as a multivariate normal distribution (vol 49, pg 522, 2012)
Model selection for regression with heteroskedastic and autocorrelated errors
Modeling of three-dimensional photonic crystal lasers in a frequency domain: A scattering matrix solution
Modeling Bicycle Passing Maneuvers on Multilane Separated Bicycle Paths
Modeling of lubricant spreading on a solid substrate
Modeling and assessing hydrologic processes for historical and potential land-cover change in the Duoyingping watershed, southwest China
Modeling the selectivity of activated carbons for efficient separation of hydrogen and carbon dioxide
Modeling and Numerical Simulation of Injection Molding of Semi-Crystalline Polymer Isotactic Polypropylene
Modeling the Spatial Distribution of Snow Cover in the Dudhkoshi Region of the Nepal Himalayas
Modelling of cryogenic processes in permafrost and seasonally frozen soils
Modeling inhomogeneous van der Waals fluids using an analytical direct correlation function
Modeling the electrostatics and size effect within a crowded bioenvironment
Model of a pH-based potentiometric biosensor immobilizing organophosphorus hydrolase
Modeling PPAR alpha Activation of Benzoxazole-Phenoxyalkyl-Phenoxybutyric Acids Using Diverse Descriptors
Modeling uncertainties for tropospheric nitrogen dioxide columns affecting satellite-based inverse modeling of nitrogen oxides emissions
Modelling analysis for enhancing seed vigour of switchgrass (Panicum virgatum L.) using an ultrasonic technique
Modeling the dissolution of various types of mixed energetic residues under different flow conditions
Modeling and Regulator Design for Three-Input Power Systems with Decoupling Control
Model GW study of the late transition metal monoxides
Modeling fusion of cellular aggregates in biofabrication using phase field theories
Modeling stock price dynamics by continuum percolation system and relevant complex systems analysis
Model Update Particle Filter for Multiple Objects Detection and Tracking
Modeling of dynamic operating behaviors in a liquid-feed direct methanol fuel cell
Modeling Intermittent Drying of Wood under Rapidly Varying Temperature and Humidity Conditions with the Lumped Reaction Engineering Approach (L-REA)
Model analysing the antioxidant responses of leaves and roots of switchgrass to NaCl-salinity stress
Model of chloride penetration into cracked concrete subject to drying-wetting cycles
Modeling, simulation, and design criteria for photoelectrochemical water-splitting systems
Model-based predicting and correcting algorithms for substructure online hybrid tests
Modeling Train Movement for Moving-Block Railway Network Using Cellular Automata
Modeling & Simulation in Drilling and Completion for Oil & Gas Preface
Modeling Roadway Link PM2.5 Emissions with Accurate Truck Activity Estimate for Regional Transportation Conformity Analysis
Modeling Analysis on Germination and Seedling Growth Using Ultrasound Seed Pretreatment in Switchgrass
Model for Microcirculation Transportation Network Design
Modeling for the catalytic coupling reaction of carbon monoxide to diethyl oxalate in fixed-bed reactors: Reactor model and its applications
Moderate deviations and hypothesis testing for signal detection problem
Modeling pollution control and performance in China%26apos;s provinces
Modeling & Simulation in Drilling and Completion for Oil & Gas
Model of Forming-Accretion on Blast Furnace Copper Stave and Industrial Application
Modeling and Algorithms of the Crew Rostering Problem with Given Cycle on High-Speed Railway Lines
Modeling of Signal Plans for Transit Signal Priority at Isolated Intersections under Stochastic Condition
Model-Driven Development of Reconfigurable Protocol Stack for Networked Control Systems
Modeling and simulation of a novel autonomous underwater vehicle with glider and flapping-foil propulsion capabilities
Model-driven approach to developing domain functional requirements in software product lines
Model Test and Numerical Analysis about Adjacent Tunnel's Interaction
Modeling of Thermal-Wave Fields in Radially Inhomogeneous Spherical Solids Using the Green Function Method
Modeling Approach and Analysis of the Structural Parameters of an Inductively Coupled Plasma Etcher Based on a Regression Orthogonal Design
Modeling the Heat Transfer during Log Heating
Modeling and simulation of laser tracking systems
Modeling the Diffusion of Chloride Ion in Concrete Using Cellular Automaton
Modelling optimal production rate with contract effects for international oil development projects
Modeling the relationship between body weight and energy intake: A molecular diffusion-based approach
Modeling and Path Generation Approaches for Crowd Simulation Based on Computational Intelligence
Modeling of high strength steel joints bonded with toughened adhesive for vehicle crash simulations
Modeling urban storm rainfall runoff from diverse underlying surfaces and application for control design in Beijing
Modeling and simulation of electrification of wind-blown-sand two-phase flow
Modelling methodology for transformer core vibrations based on the magnetostrictive properties
Modeling travel time reliability of freeways using risk assessment techniques
Modeling of Ni4Ti3 precipitation during stress-free and stress-assisted aging of bi-crystalline NiTi shape memory alloys
Model-based trajectory tracking control for an electrohydraulic lifting system with valve compensation strategy
Model of Passenger Flow Assignment for Urban Rail Transit Based on Entry and Exit Time Constraints
Model-order reduction of coupled DAE systems via epsilon-embedding technique and Krylov subspace method
Modelling methodology and experimental verification of the permanent-magnet-biased saturation-based fault current limiter
Models Comparison for Step-Stress Accelerated Life Testing
Modeling and validation of photometric characteristics of space targets oriented to space-based observation
Model for healing of creep cavities in nickel-based superalloys under hot isostatic pressing
Modeling and Fault Monitoring of Bioprocess Using Generalized Additive Models (GAMs) and Bootstrap (Retracted article. See vol. 21, pg. 270, 2013)
Modeling Bounded Rationality in Capacity Allocation Games with the Quantal Response Equilibrium
Modern Carbohydrate Microarray Biochip Technologies
Modeling and Control of Complex Dynamic Systems: Applied Mathematical Aspects
Modeling and Characteristics of Gain-Switched Diode-Pumped Er-Yb Codoped Fiber Lasers
Modeling of Phase Transformation and DRX in TA15 Alloy during the Isothermal Hot Compression
Modelling and simulation of dynamically integrated manufacturing systems
Modeling the responses of grassland vegetation coverage to grazing disturbance in an alpine meadow
Modeling hydration process of magnesia based on nucleation and growth theory: The isothermal calorimetry study
Modeling of Double-O-Tube tunneling-induced soil movements using stochastic medium theory
Model Analysis of Fomite Mediated Influenza Transmission
Modeling climate change effects on runoff and soil erosion in southeastern Arizona rangelands and implications for mitigation with conservation practices
Modeling and Simulation of Travel Behavior Forecasting System Based on Bayesian Network
Modeling and optimization in complex systems based on computational intelligence
Mode water ventilation and subtropical countercurrent over the North Pacific in CMIP5 simulations and future projections
Modeling Dynamic Evolution of Online Friendship Network
Modeling As(III) Oxidation and Removal with Iron Electrocoagulation in Groundwater
Modeling Steroid 5alpha-reductase and Characterizing Its Potential Active Sites
Modelling of piezoresistive response of carbon nanotube network based films under in-plane straining by percolation theory
Models of Experimental Competitive Intensities Predict Home and Away Differences in Invasive Impact and the Effects of an Endophytic Mutualist
Model and its application of 7-design planning of product design planning
Modeling ventilation in naturally ventilated double-skin facade with a venetian blind
Modeling and experimental validation of the dispersion of Rn-222 released from a uranium mine ventilation shaft
Modeling of downconverter based on Pr3+-Yb3+ codoped fluoride glasses to improve sc-Si solar cells efficiency
Modeling the dynamics of ecosystem for the American lobster in the Gulf of Maine
Modeling and Simulation of Phantom Temperature Field in Magnetic Induction Hyperthermia
Models & tests of casing wear in drilling for oil & gas
Modelling and Spherical Air Bearing Based Levitation Design of a Novel M-DOF Actuator
Modeling the thermochemical degradation of biomass inside a fast pyrolysis fluidized bed reactor
Modelling of spall damage in ductile materials and its application to the simulation of the plate impact on copper
Modeling the hook depth distribution of pelagic longlining in the equatorial area of Indian Ocean
Moderately Hypofractionated Conformal Radiation Treatment of Thoracic Esophageal Carcinoma
Modeling and behaviours of rockfill materials in three-dimensional stress space
Modest alcohol consumption and non-alcoholic steatohepatitis
Modeling C-13 NMR Chemical Shifts of Aliphatic Ethers and Thioethers
Modeling and Dynamic Analysis in Software Systems Based on Complex Networks
Model predictive control based on adaptive hinging hyperplanes model
Model Root System Growth Based on L-System Considering Hydrotropism
Modeling of push-out test for interfacial fracture toughness of fiber-reinforced composites
Models and mechanisms of drilling-induced sinkhole in China
Modeling the thermal conductivity of graphene nanoplatelets reinforced composites
Modeling Rapid Intensification of Typhoon Saomai (2006) with the Weather Research and Forecasting Model and Sensitivity to Cloud Microphysical Parameterizations
Modelling the equilibrium hypsometry of back-barrier tidal flats in the German Wadden Sea (southern North Sea)
Modelling the effect of binary phase composition on inward solidification of a particle
Modeling the Contributions of Ca2+ Flows to Spontaneous Ca2+ Oscillations and Cortical Spreading Depression-Triggered Ca2+ Waves in Astrocyte Networks
Modeling and Direct Power Control of Energy Recovery Power Battery Testing System Under Charging Mode - A New Approach
Model Reference Control of Hyperchaotic Systems
Modelization and comparison of Norton-Hoff and Arrhenius constitutive laws to predict hot tensile behavior of Ti-6Al-4V alloy
Modeling Study on the Generation of Reactive Oxygen Species in Atmospheric Radio-Frequency Helium-Oxygen Discharges
Modeling and Simulation of Pedestrian Counter Flow on a Crosswalk
Modeling and simulation of low pressure oil-hydraulic pipeline transients
Modeling colloid deposition on a protein layer adsorbed to iron-oxide-coated sand
Modeling and Managing Energy Efficiency Data Center by a Live Migration Mechanism in Mobile Cloud Computing Environments
Model of the kinetics of dynamic recrystallization of aluminum alloy 1235
Modeling the strengthening effect of Al-Cu-Fe quasicrystalline particles in Al-based metal matrix composites
Modeling Method for Deformation Analysis in Thick Laminate Mechanical Joints
Modeling of Sommerfeld surface waves propagating on a single wire of laser plasma filaments
Modeling the development of a complex fault configuration in the source region of two destructive intraplate earthquakes in the mid-Niigata region
Modeling potentiometric measurements in topological insulators including parallel channels
Modeling and prediction of compressive creep of silane-treated TiO2/high-density polyethylene
Mode-Locking of Fiber Lasers Induced by Residual Polarization Dependent Loss of Cavity Components
Mode-locked dual-wavelength heterolasers for terahertz generation via intracavity wave mixing
Modeling and analyzing mixed communications in service-oriented trustworthy software
Modeling the Yew Tree Tubulin and a Comparison of its Interaction with Paclitaxel to Human Tubulin
Modelling and control scheme of the ball-plate trajectory-tracking pneumatic system with a touch screen and a rotary cylinder
Modelling, simulation and experimental investigation of cutting forces during helical milling operations
Modern thermokarst lake dynamics in the continuous permafrost zone, northern Seward Peninsula, Alaska
Modelling the geomagnetic field from syntheses of paleomagnetic data
Models of Electron Transport in Single Layer Graphene
Modelling of the behaviour of gas-solid two-phase mixtures flowing through packed beds
Modelling and recursive power control of horizontal variable speed wind turbines
Modeling and Optimal Design of 3 Degrees of Freedom Helmholtz Resonator in Hydraulic System
Model Based Inquiry in the High School Physics Classroom: An Exploratory Study of Implementation and Outcomes
Modes of clustered star formation
Modelling and optimisation of hull erection process
Modeling of Hydrodynamics and Cohesive Sediment Processes in an Estuarine System: Study Case in Danshui River
Modeling of hydraulic turbine systems based on a Bayesian-Gaussian neural network driven by sliding window data
Modeling of emergency response decision-making process using stochastic Petri net: an e-service perspective
Modeling of cross-spring pivots subjected to generalized planar loads
Model test study on the calculation of the phreatic line of the homogeneous bank slope under rising condition
Modelling algal densities in harmful algal blooms (HAB) with stochastic dynamics
Modeling of Flow Rate, Pore Size, and Porosity for the Dispensing-Based Tissue Scaffolds Fabrication
Modeling Time-Evolving Infrared Characteristics for Space Objects With Micromotions
Modeling of ionospheric parameter variations in East Asia during the moderate geomagnetic disturbances
Modeling of dendritic growth and bubble formation
Model Surfaces Produced by Atomic Layer Deposition
Modeling and analysis of supercritical flow instability in parallel channels
Modeling Strain Rate Effect of Heterogeneous Materials Using SPH Method
Modeling, nonlinear dynamical analysis of a novel power system with random wind power and it's control
Modeling UML sequence diagrams using extended Petri nets
Model reference robust adaptive control for a class of uncertain switched linear systems
Modeling Propagation Dynamics of Social Network Worms
Modeling the Effects of Environmental Factors on the Population of Fusarium oxysporum in Cucumber Continuously Cropped Soil
Model evidence for climatic impact of thermohaline circulation on China at the century scale
Modeling Pathogenesis of Huntington's Disease with Inducible Neuroprogenitor Cells
Modeling early-age hydration kinetics of Portland cement using flexible neural tree
Modeling and optimization of joint quality for laser transmission joint of thermoplastic using an artificial neural network and a genetic algorithm
Modeling of remote Coulomb scattering limited mobility in MOSFET with HfO2/SiO2 gate stacks
Modeling and Optimization of Stochastic Joint Replenishment and Delivery Scheduling Problem with Uncertain Costs
Model and algorithm for fuzzy joint replenishment and delivery scheduling without explicit membership function
Model analysis and experimental technique on computing accuracy of seam spatial position information based on stereo vision for welding robot
Modeling Compound-Target Interaction Network of Traditional Chinese Medicines for Type II Diabetes Mellitus: Insight for Polypharmacology and Drug Design
Modeling and simulation of the fluid flow in continuous slab casting mould
Modelling of the conductive heat transfer through nano-structured porous silica materials
Modeling and optimization of a product-service system with additional service capacity and impatient customers
Model of estimating nano-particle agglomerate sizes in a vibro-fluidized bed
Modeling of wavelength downconversion based on the Nd3+-Yb3+ system for improving c-Si solar cell performance
Modelling two-dimensional global seismic wave propagation in a laterally heterogeneous whole-Moon model
Modeling of heat transfer and solidification in LIVE L3A experiment
Modeling and coordinative optimization of NOx emission and efficiency of utility boilers with neural network
Model-Based Estimation of Flow Characteristics Using an Ionic Polymer-Metal Composite Beam
Modelling and experimental validation of dynamic characteristics of porous-walled air film for non-contact conveyor system
Modeling of the interaction between solidification interface and bubble using the lattice Boltzmann method with large density ratio
Modelling of the nanoscale channel length effect on the subthreshold characteristics of junctionless field-effect transistors with a symmetric double-gate structure
Modeling Computer Virus and Its Dynamics
Model and simulation of water penetration in water-assisted injection molding
Moderate expression of the transcriptional regulator ALsR enhances acetoin production by Bacillus subtilis
Mode-locking of 2 mu m Tm,Ho:YAG laser with GaInAs and GaSb-based SESAMs
Modeling of Day-to-Day Temporal Progression of Clear-Sky Land Surface Temperature
Modelling medical care usage under medical insurance scheme for urban non-working residents
Modelling hydrogen bonds in NN-dimethylformamide
Model analysis of effect of diffraction focus characteristics of microlens arrays on parallel laser direct writing quality
Modeling of diffusion in ellipsoidal solids: A simplified approach
Modeling of diffusion in ellipsoidal solids: A comparative study
Modelling of quantum ballistic cylindrical nanowire MOSFETs in the subthreshold regime
Modeling and Free Vibration Behavior of Rotating Composite Thin-walled Closed-section Beams with SMA Fibers
Modeling and initial assessment of the inter-frequency clock bias for COMPASS GEO satellites
Modeling astatine production in liquid lead-bismuth spallation targets
Modelling O-18(2) and O-16(2) unidirectional fluxes in plants. III: Fitting of experimental data by a simple model
Modelling O-18(2) and O-16(2) unidirectional fluxes in plants. IV: Role of conductance and laws of its regulation in C-3 plants
Modeling and analysis of gear tooth crack growth under variable-amplitude loading
Model-independent implications of the e(+/-), (p)over-barcosmic ray spectra on properties of Dark Matter (vol 813, pg 1, 2009)
Modeling of one-dimensional thermal response of silica-phenolic composites with volume ablation
Modeling dust emission response to North Atlantic millennial-scale climate variations from the perspective of East European MIS 3 loess deposits
Modeling Protein-Protein Recognition in Solution Using the Coarse-Grained Force Field SCORPION
Modeling Functional Roles Dynamics in Small Group Interactions
Modeling multiband emissions from two young SNRs with a time-dependent magnetic field
Modeling and Optimization of the Steam Turbine Network of an Ethylene Plant
Modeling and Robust Trajectory Tracking Control for a Novel Six-Rotor Unmanned Aerial Vehicle
Moderate phosphorus application enhances Zn mobility and uptake in hyperaccumulator Sedum alfredii
Modeling of soot formation during partial oxidation of producer gas
Model Updating with a Neural Network Method Based on Uniform Design
Modeling of hysteretic behavior of the levitation force between superconductor and permanent magnet
Modeling and multiobjective optimization of traction performance for autonomous wheeled mobile robot in rough terrain
Modeling for opto-electronic oscillator considering the nonlinearity of microwave amplifier
Modeling of an Opposed Multiburner Gasifier with a Reduced-Order Model
Modeling and In Vitro Experimental Validation for Kinetics of the Colonoscope in Colonoscopy
Modeling of strain effects on the device behaviors of ferroelectric memory field-effect transistors
Modeling and optimization matching on drive system of a coaxial parallel-type hybrid-power gas engine heat pump
Modeling and analysts of porosity and compressive strength of gradient Al2O3-ZrO2 ceramic filter using BP neural network
Modelling and structure optimization of flat-panel thermal concentrated solar thermoelectric device
Modeling and simulations of high-power microwave devices using the CHIPIC code
Modeling of progressive delamination in a thin film driven by diffusion-induced stresses
Modelling of a CO2-gas jet into liquid-sodium following a heat exchanger leakage scenario in Sodium Fast Reactors
Modeling volatile organic compounds sorption on dry building materials using double-exponential model
Modeling Temperature Distribution in Soil Profile Impacts of Moisture Transport, Snow Cover, and Soil Freezing
Modeling Asymmetric Flow of Viscoelastic Fluid in Symmetric Planar Sudden Expansion Geometry Based on User-Defined Function in FLUENT CFD Package
Modeling, Simulation and Optimized Design of a Microreactor for a Two-Step Reaction
Modeling and assessment of combined GPS/GLONASS precise point positioning
Modeling the heat transfer characteristics of flow melting of phase change material slurries in the circular tubes
Modeling of the terminating-type power sensors fabricated by GaAs MMIC process
Modeling and controlling an isolated urban intersection based on cooperative vehicles
Modeling the Microstructure Curvature of Boron-Doped Silicon in Bulk Micromachined Accelerometer
Modeling and simulation of pit chemistry of 304 austenitic stainless steel under applied stress in sodium chloride solution
Modeling of Nuclear Species Diffusion Through Cement-Based Materials
Modeling metabolic processes between molecular and systems biology
Modelling and dynamic response of steel reticulated shell under blast loading
Modeling and Design of an Integrated Winding Synchronous Permanent Magnet Planar Motor
Modeling of a horizontal circulation open loop in two-phase helium
Modeling the transmission dynamics of sheep brucellosis in Inner Mongolia Autonomous Region, China
Modeling Seasonal Rabies Epidemics in China (vol 74, pg 1226, 2012)
Modeling the risk assessment of landslide-dammed lakes based on the emergency response measures in Wenchuan earthquake, 2008, China
Modeling 1993-2008 climatology of seasonal general circulation and thermal structure in the Great Lakes using FVCOM
Model-Based Low-Noise Readout Integrated Circuit Design for Uncooled Microbolometers
Modeling secondary organic aerosol in an urban area: application to Paris, France
Modeling and Fault Monitoring of Bioprocess Using Generalized Additive Models (GAMs) and Bootstrap (Retraction of vol 20, pg 1180, 2012)
Modeling the Transient Space-Charge-Limited Current Response of Organic Semiconductor Diodes Using the Master Equation Approach
Model of a GaAs Quantum Dot Embedded in a Polymorph AlGaAs Nanowire
Modes of Action of ADP-Ribosylated Elongation Factor 2 in Inhibiting the Polypeptide Elongation Cycle: A Modeling Study
Modelling the tsunami free oscillations in the Marquesas (French Polynesia)
Modelling the interactions between a thermal plasma flow and a continuous liquid jet in a suspension spraying process
Modeling and Simulation of Bonding and Optical Characters of Ternary Nanocrystals
Modeling and validation of polishing tool influence functions for manufacturing segments for an extremely large telescope
Model-based adaptive synthetic aperture radar image formation algorithm
Modeling of Lorentz forces and radiated wave fields for bulk wave electromagnetic acoustic transducers
Modeling Population Immunity to Support Efforts to End the Transmission of Live Polioviruses
Modelling of hybrid scenario: from present-day experiments towards ITER
Model-Driven Harmonic Parameterization of the Cortical Surface: HIP-HOP
Modelling and stability analysis of MIMO networked control systems with multi-channel random packet losses
Modeling antiretroviral drug responses for HIV-1 infected patients using differential equation models
Model of hot-carrier degradation for lateral IGBT device on SOI substrate
Modelling correlation degree between two adjacent signalised intersections for dynamic subarea partition
Models of Self-Peptide Sampling by Developing T Cells Identify Candidate Mechanisms of Thymic Selection
Model-based identification of motion sensor placement for tracking retraction and elongation of the tongue
Modeling CO2 and CH4 flux changes in pristine peatlands of Finland under changing climate conditions
Modelling of macrosegregation in steel ingot by weakly integrated micro-macroscopic model
Moderating Effect of Psychosocial Factors for Dyspnea in Taiwanese and American Heart Failure Patients
Modeling of phonon heat transfer in spherical segment of silica aerogel grains
Modeling and dynamic characteristics of spinning Rayleigh beams
Modeling OECD energy demand: An international panel smooth transition error-correction model
Modeling of Terfenol-D Biased Minor Hysteresis Loops
Modeling classical and quantum radiation from laser-plasma accelerators
Modeling and advanced control method of PVC polymerization process
Model-based load testing of web applications
Modeling of the Laser-Heating Induced Ultrafast Demagnetization Dynamics in Ferrimagnetic Thin Films
Modeling financial dependence with support vector regression
Modeling pollutant transport in overland flow over non-planar and non-homogenous infiltrating surfaces
Modeling the relationship between perceived corporate citizenship and organizational commitment considering organizational trust as a moderator
Mode-locked double-clad fiber laser with a carbon nanotubes saturable absorber
Modeling of Shock Wave Generated from a Strong Focused Ultrasound Transducer
Modeling Strain-Rate Dependent Behavior of K R-0-Consolidated Soft Clays (vol 138, pg 738, 2012)
Modeling for Deformable Body and Motion Analysis: A Review
Model Development and Dynamic Load-Sharing Analysis of Longitudinal-Connected Air Suspensions
Modeling and Coupling Particle Scale Heat Transfer with DEM through Heat Transfer Mechanisms
Modeling and analysis of cantilever beam with active-passive hybrid piezoelectric network
Models and Algorithms for Hub and Spoke Locations for Emergency Service Facilities in Response to Serious Emergency Incidents
Modeling of group-specific mortality in China using a modified Lee-Carter model
Modeling and analysis of software rejuvenation in a server virtualized system with live VM migration
Modeling and optimization of granulation process of activated sludge in sequencing batch reactors
Modelling the landscape of palliative care for people with dementia: a European mixed methods study
Modeling the Potential Spread of the Recently Identified Non-Native Panther Grouper (Chromileptes altivelis) in the Atlantic Using a Cellular Automaton Approach
Modelling of dominant softening mechanisms for Ti-6Al-4V in steady state hot forming conditions
Mode division multiplexing technology for single-fiber optical trapping axial-position adjustment
Modeling Exon Expression Using Histone Modifications
Modeling distinct osteosarcoma subtypes in vivo using Cre:lox and lineage-restricted transgenic shRNA
Modeling the impact of overgrazing on evolution process of grassland desertification
Models of inspection, routine service, and replacement for a serviceable one-component system
Modelling and mapping climate change impacts on permafrost at high spatial resolution for an Arctic region with complex terrain
Modeling user experience: A case study on a mobile device
Mode dependent down-sampling and interpolation scheme for high efficiency video coding
Model Predictive Control of Glucose Feeding for Fed-batch Candida utilis Biomass Production
Modeling and monitoring of multimode process based on subspace separation
Modeling and analysis of FGM rectangular plates based on physical neutral surface and high order shear deformation theory
Model selection of the generalized von Mises distribution based on empirical mode decomposition with data analyses
Modeling inhibitory activity of a novel antimicrobial peptide AMPNT-6 from Bacillus subtilis against Vibrio parahaemolyticus in shrimp under various environmental conditions
Modeling of corona discharge combined with Mn2+ catalysis for the removal of SO2 from simulated flue gas
Models of care for the secondary prevention of osteoporotic fractures: a systematic review and meta-analysis
Modeling of Non-Steroidal Anti-Inflammatory Drug Effect within Signaling Pathways and miRNA-Regulation Pathways
Modeling the Price Mechanism of Carbon Emission Exchange in the European Union Emission Trading System
Modeling cavitation flow of cryogenic fluids with thermodynamic phase-change theory
Modeling of sulfur plugging in a sour gas reservoir
Modeling the effects of near-surface plumes of suspended particulate matter on remote-sensing reflectance of coastal waters
Modeling Seasonal Variation of Sea Ice in Prydz Bay, Antarctica
Modeling and Output Feedback Control of Networked Control Systems with Both Time Delays; and Packet Dropouts
Model Uncertainty and Model Averaging in the Estimation of Infectious Doses for Microbial Pathogens
Modeling and Control of Quasi-Z-Source Inverter for Distributed Generation Applications
Modeling Cold Region Ground Temperatures with a Heat Flux Upper Boundary Model
Model identification for infinite variance autoregressive processes
Model study of sulfite oxidation in seawater flue gas desulfurization by cylindrical wetted-wall corona-streamer discharge
Model-based decision rules reduce the risk of molecular relapse after cessation of tyrosine kinase inhibitor therapy in chronic myeloid leukemia
Modeling the dynamics of worm propagation using two-dimensional cellular automata in smartphones
Modeling East Asian climate and impacts of atmospheric CO2 concentration during the Late Cretaceous (66 Ma)
Modeling OCB for Hotels: Don't Forget the Customers
Modeling of a bead mill process for dispersion of coagulated nano particles
Modeling the damage evolution in compressed polymer bonded explosives based on computed microtomography images
Modeling the airline hub location and optimal market problems with continuous approximation techniques
Modeling of rate-dependent damping capacity of one-dimensional superelastic shape memory alloys
Modeling of the energies and splitting of the Q(x) and Q(y) bands in positional isomers of zinc pyridinoporphyrazines by TDDFT approach: Can TDDFT help distinguishing the structural isomers?
Modeling and simulation of grinding surface topography considering wheel vibration
Mode Shift Behavior Impacts from the Introduction of Metro Service: Case Study of Xi'an, China
Modes of acrosin functioning during fertilization
Modeling the CO2 emissions and energy saved from new energy vehicles based on the logistic-curve
Modeling China's inflation dynamics: An MRSTAR approach
Modeling and Optimization of New Flocculant Dosage and pH for Flocculation: Removal of Pollutants from Wastewater
Moderating Effect of Age on the Association Between Alcohol Use and Sexual Risk in MSM: Evidence for Elevated Risk Among Younger MSM
Modeling the transmission dynamics and control of Hepatitis B virus in China (vol 262, pg 330, 2010)
Modeling and analysis of an agent-based model for Chinese stock market
Modeling miniband for realistic silicon nanocrystal array
Modeling the circulation in the Gulf of Tonkin, South China Sea
Model for Evaluation of the Phytoavailability of Chromium (Cr) to Rice (Oryza sativa L.) in Representative Chinese Soils
Modeling and implementation of the vegetable supply chain traceability system
Modeling the effects of varied forest management regimes on carbon dynamics in jack pine stands under climate change
Modes and Mechanisms of Global Water Vapor Variability over the Twentieth Century
Mode-R Subspace Projection of a Tensor for Multidimensional Harmonic Parameter Estimations
Modelling of the Effects of KDP Crystal Gravity Sag on Broadband Third Harmonic Generation via Multi-Crystal Design
Modelling of interaction between corrosion-induced concrete cover crack and steel corrosion rate
Modelling the combined impacts of sea-level rise and land subsidence on storm tides induced flooding of the Huangpu River in Shanghai, China
Modeling the impact of quadrivalent HPV vaccination on the incidence of Pap test abnormalities in the United States
Modelling the Effect of Weather Conditions on Cyanobacterial Bloom Outbreaks in Lake Dianchi: a Rough Decision-Adjusted Logistic Regression Model
Model the evolution of protein interaction network assisted with protein age
Model and algorithm of fuzzy joint replenishment problem under credibility measure on fuzzy goal
Modeling and Optimization of Artificial Neural Network and Response Surface Methodology in Ultra-high-Pressure Extraction of Artemisia argyi Levl. et Vant and its antifungal activity
Modelling and a tabu search solution for the slab reallocation problem in the steel industry
Modeling and Optimization of Inventory-Distribution Routing Problem for Agriculture Products Supply Chain
Modelling of the phase transition of nanoscale confined liquid crystal
Modeling the initial transmission dynamics of influenza A H1N1 in Guangdong Province, China
Modeling Curiosity-Related Emotions for Virtual Peer Learners
Modeling Stretching-Relaxation Properties of Yarns
Modeling the Effects of Climate Change and Human Activities on the Hydrological Processes in a Semiarid Watershed of Loess Plateau
Modeling and simulation of three-dimensional extrusion swelling of viscoelastic fluids with PTT, Giesekus and FENE-P constitutive models
Modelling the gamma-ray variability of 3C 273
Model Tests of Electrical Compensation Method for the New Calculable Cross-Capacitor at NIM
Modeling uncertain outcomes of genetic testing: factor V Leiden mutation and pregnant women
Modeling fortification of corn masa flour with folic acid: the potential impact on exceeding the tolerable upper intake level for folic acid, NHANES 2001-2008
Modeling the linewidth dependence of coherent terahertz emission from intrinsic Josephson junction stacks in the hot-spot regime
Model-based identifiable parameter determination applied to a simultaneous saccharification and fermentation process model for bio-ethanol production
Modeling of pedestrian evacuation under fire emergency based on an extended heterogeneous lattice gas model
Modeling and Optimization of Train Scheduling Network Based on Invulnerability Analysis
Modelling fortification of corn masa flour with folic acid and the potential impact on Mexican-American women with lower acculturation
Model development and application design of fiber mat used for turbid runoff treatment
Modeling sexual transmission of HIV/AIDS in Jiangsu province, China
Modeling and simulation of polymer melts flow in the extrusion process of plastic profile with metal insert
Modeling Cadmium Exposures in Low- and High-Exposure Areas in Thailand
Modeling the Light Extraction Efficiency of Bi-Layer Phosphors in White LEDs
Modeling migrant adaptation: Coping with social strain, assimilation, and non-integration
Modeling 2D Gyromagnetic Photonic Crystals by Modified FDTD Method
Modeling hysteresis behavior of cross-ply C/SiC ceramic matrix composites
Modeling, Experimental Study on the Heat Transfer Characteristics of Thermoelectric Generator
Modeling a methylmalonic acid-derived change point for serum vitamin B-12 for adults in NHANES
Modeling Loading/Unloading Hysteresis Behavior of Unidirectional C/SiC Ceramic Matrix Composites
Modeling freeway incident response time: A mechanism-based approach
Modeling bimaterial interface cracks using the numerical manifold method
Modeling temperature of non-equidistant primary shear zone in metal cutting
Modeling dislocation cutting the precipitate in nickel-based single crystal superalloy via the discrete dislocation dynamics with SISF dissociation scheme
Modeling and Verifying the Ariadne Protocol Using Process Algebra
Modelling and optimal control for a fed-batch fermentation process
Modeling of fatigue damage under superimposed high-cycle and low-cycle fatigue loading for a cast aluminum alloy
Modern maize hybrids in Northeast China exhibit increased yield potential and resource use efficiency despite adverse climate change
Modeling the Potential Public Health Impact of Prepregnancy Obesity on Adverse Fetal and Infant Outcomes
Mode Parameters of delta Scuti Stars V830 Her and HD 163032 with Four-Year Data from the Taiwan Automated Telescope Network
Modelling and parameter identification for a nonlinear time-delay system in microbial batch fermentation
Mode converter in metal-insulator-metal plasmonic waveguide designed by transformation optics
Modern optical techniques provide a bright outlook for cell analysis
Model Reduction Based Set-Membership Filtering with Linear State Equality Constraints
Modeling IrisCode and Its Variants as Convex Polyhedral Cones and Its Security Implications
Modeling Fiber Fineness, Maturity, and Micronaire in Cotton (Gossypium hirsutum L.)
Modeling of fluid flow and heat transfer in a trough solar collector
Modeling and solving for transverse vibration of gear with variational thickness
Modeling Biological Dinitrogen Fixation of Field Pea with a Process-Based Simulation Model
Modelling coarsening behaviour of TiC precipitates in high strength, low alloy steels
Modeling of magnetoelectric effects in flexural nanobilayers: The effects of surface stress
Modeling carotid and radial artery pulse pressure waveforms by curve fitting with Gaussian functions
Modeling and adaptive tracking for a class of stochastic Lagrangian control systems
Modeling of Ionic-Strength-Sensitive Hydrogel: Effect of Initial Distance Between the Fixed Charges
Modeling fibril fragmentation in real-time
Model for the formation energy of In-N clusters and their effect on the energy band gap of the Ga-rich and As-rich InxGa1-xNyAs1-y semiconductor alloys
Model-Based Online Learning With Kernels
Modelling and applications of annual energy-using simulation module of separated heat pipe heat exchanger
Model-based services convergence and multi-clouds integration
Modeling and analysis of Earth's gravity field measurement performance by inner-formation flying system
Model-based optimization for vapor compression refrigeration cycle
Modeling of grain refinement by cellular automata
Modeling kinetic rate variation in third generation DNA sequencing data to detect putative modifications to DNA bases
Modeling Realized Covariances and Returns
Modeling and identification of microbial batch fermentation using fuzzy expert system
Modelling distribution in European stream macroinvertebrates under future climates
Models of a standing human body in vertical vibration
Mode-III crack problems in a cubic piezoelectric medium
Model-Based Iterative Learning Control for Batch Processes Using Generalized Hinging Hyperplanes
Model-based hand pose estimation via spatial-temporal hand parsing and 3D fingertip localization
Modeling the geographic distribution of the epiphytic moss Macromitrium japonicum in China
Models in Metalloenzymes for Dioxygen Activation
Modelling and stability analysis for a tuberculosis model with healthy education and treatment
Modeling and experimental investigations of Fano resonances in free-standing LiNbO3 photonic crystal slabs
Modeling the Integration of Parasitoid, Insecticide, and Transgenic Insecticidal Crop for the Long-Term Control of an Insect Pest
Model-independent trend of alpha-preformation probability
Model comparison on genomic predictions using high-density markers for different groups of bulls in the Nordic Holstein population
Modeling Oscillation Behavior of Network Traffic by Nested Hidden Markov Model with Variable State-Duration
Moderate expression of Wnt signaling genes is essential for porcine parthenogenetic embryo development
Modeling the applicability of linear energy transfer on single event upset occurrence
Modelling and optimal control for an impulsive dynamical system in microbial fed-batch culture
Modeling and Experimental Investigation of Pressure Field in the Grinding Zone with Nanoparticle Jet of MQL
Modeling and Numerical Simulation of the Grinding Temperature Field with Nanoparticle Jet of MQL
Modeling cracking behavior of rock mass containing inclusions using the enriched numerical manifold method
Modelling of thermal characteristics of HDD using thermal and fluid iterative coupling method
Modelling the cultivation and bioremediation potential of the kelp Saccharina latissima in close proximity to an exposed salmon farm in Norway
Modeling mechanism and extension of GM (1,1)
Modeling vascularized bone regeneration within a porous biodegradable CaP scaffold loaded with growth factors
Modeling of the induced chemo-mechanical stress through porous cement mortar subjected to CO2: Enhanced micro-dilatation theory and C-14-PMMA method
Modelling the hydrothermomechanical properties of polymers close to glass transition
Model Investigations on the Stability of the Steel-Slag Interface in Continuous-Casting Process
Modeling Activity and Interaction Coefficients of Components of Multicomponent Alloy Melts: An Example of Iron Melt
Modeling steel corrosion under supercritical CO2 conditions
Mode analysis of surface plasmon metal-dielectric-metal nanowire array waveguide at sub-wavelength scale
Modeling of bubble coalescence and break-up considering turbulent suppression phenomena in bubbly two-phase flow
Modeling of thermal phenomena in single laser beam and laser-arc hybrid welding processes using projection method
Model-based state of charge and peak power capability joint estimation of lithium-ion battery in plug-in hybrid electric vehicles
Modeling and assessment of polychlorinated biphenyls contamination in soil at a burial site of power capacitors
Modeling of Pulverized Coal Combustion in Turbulent Flow with the Consideration of Intermediate Reactions of Volatile Matter
Modelling for multi-scale ecosystems in the context of global climate change
Modeling impacts of climate change on freshwater availability in Africa
Moderate temperature compression incorporating plastic deformation and rearrangement in Al2O3-ZrO2 ceramics
Modeling nonlinear creep and recovery behaviors of synthetic fiber ropes for deepwater moorings
Model-Dependent High-Energy Neutrino Flux from Gamma-Ray Bursts
Modeling and simulation of a piezodisc generator under central load
Modeling the Yellow River sediment flux and its deposition patterns under climatological conditions
Modeling and analysis of dry friction in micro-forming of metals
Mode Analysis of a Symmetric Hybrid Surface Plasmonic Waveguide for Photonic Integration
Modeling of quantitative effects of water components on the photocatalytic degradation of 17 alpha-ethynylestradiol in a modified flat plate serpentine reactor
Modeling Image Motion in Airborne Three-Line-Array (TLA) Push-broom Cameras
Modeling of Pore Structure Evolution Between Bundles of Plain Woven Fabrics During Chemical Vapor Infiltration Process: The Influence of Preform Geometry
Modeling and simulation of the nonsmooth planar rigid multibody systems with frictional translational joints
Modeling of tunneling current in ultrathin MOS structure with interface trap charge and fixed oxide charge
Modelling quality changes in Songpu mirror carp (Cyprinus carpio) fillets stored at chilled temperatures: comparison between Arrhenius model and log-logistic model
Modelling QTL effect on BTA06 using random regression test day models
Modeling of sediment and heavy metal transport in Taihu Lake, China
Modeling Line-Driven Disk Wind for Broad Absorption Lines of Quasars
Mode-mixing quantum gates and entanglement without particle creation in periodically accelerated cavities
Model Predictive Direct Power Control of a PWM Rectifier With Duty Cycle Optimization
Modeling time-dependent overdispersion in longitudinal count data
Modeling of electrostatics and drain current in nanoscale quadruple-gate MOSFET using conformal mapping techniques
Modelling and analysis of a rigid-compliant parallel mechanism
Modeling and nonlinear aeroelastic analysis of a wing with morphing trailing edge
Model-independent test of gravity with a network of ground-based gravitational-wave detectors
Modelling ranging error of indoor TOA-based position system based on receive signal strength
Modeling of avionics blueprint architecture based on GSPN and LP
Modeling a femtosecond filament array waveguide for guiding pulsed infrared laser radiation
Modeling of plasma chemistry in an atmospheric pressure Ar/NH3 cylindrical dielectric barrier discharge described using the one-dimensional fluid model
Modeling the Dynamics of a Single-Species Model with Pollution Treatment in a Polluted Environment
Modeling cathodic shielding of sacrificial anode cathodic protection systems in seawater
Model-based robustness testing for avionics-embedded software
Modeling of a Packed Bubble Column for Methyl Nitrite Regeneration Based on Reaction Kinetics and Mass Transfer
Modeling and simulation of the TiC reaction layer growth during active brazing of diamond using DICTRA
Modeling of Diseases of Retinal Ischemia in vitro: Possible Participation of Autocrine Vascular Endothelial Growth Factor Signaling
Modeling of Credit Option Replenishment in Cluster Supply Chain Networks under Internet Environment
Modern quantum chemical methods for calculating spin-spin coupling constants: theoretical basic and structural applications in chemistry
Modeling Aggressive Behaviors With Evolutionary Taxonomers
Modeling Salmonella Growth in Irradiated Pork for Specific Target Groups and Patients at Isothermal and Dynamic Temperature
Modeling and analysis of passive dynamic bipedal walking with segmented feet and compliant joints (vol 28, pg 1457, 2012)
Modeling and analysis of vaporizing during vacuum electron beam welding on magnesium alloy
Mode, Load, And Specific Climate Impact from Passenger Trips
Modeling of probability distribution functions for automatic threshold calculation in condition monitoring systems
Modeling and experiments of microcrystalline silicon film deposited via VHF-PECVD
Modeling, Control Strategy, and Power Conditioning for Direct-Drive Wave Energy Conversion to Operate With Power Grid
Modeling and Optimization of Edge Dislocation Stressors
Modeling regional deposited dose of submicron aerosol particles
Modeling, Simulation and Experimental Verification of the Break-Up of Liquid Jets and the Drop Formation in the Centrifugal Field
Models to predict the elastic parameters of soil-rock mixture
Modeling of Microbial Induced Carbonate Precipitation in Porous Media
Modeling residual stresses generated in Ti coatings thermally sprayed on Al2O3 substrates
Mode II interlaminar fracture properties of Moso bamboo
Model predictive control of a DC-DC converter for battery emulation
Modeling of on-line measurement for rolling the rings with blank size errors in vertical hot ring rolling process
Modeling the hot deformation behavior of Al alloy 3003
Modeling and analysis of the stress effects for trimmed cupped resonator under varying temperature
Model Predictive Controller Performance Monitoring Based on Impulse Response Identification
Modeling a typical winter-time dust event over the Arabian Peninsula and the Red Sea
Modeling, Analysis, and Design of an Interleaved Four-Phase Current-Fed Converter With New Voltage Multiplier Topology
Modeling, control and simulation of upward jump of a biped
Modes of interannual variability of Southern Hemisphere atmospheric circulation in CMIP3 models: assessment and projections
Modeling and Numerical Studies for a 3D Two-Phase Mixed-Domain Model of PEM Fuel Cell
Modeling the melting temperature depression of ionic liquids caused by supercritical carbon dioxide
Modeling of the Relationship between Pore Size Distribution and Thermal Conductivity of Corundum Based Castables
Modeling the chloride concentration profile in migration test based on general Poisson Nernst Planck equations and pore structure hypothesis
Models of Calculation of Axisymmetrical Solids with the Lowest Drag during Motion in Soils
Modeling Lane-Keeping Behavior of Bicyclists Using Survival Analysis Approach
Moderate deviations for a class of recursions
Model checking quantum Markov chains
Modeling and optimization of pulsed green laser dicing of sapphire using response surface methodology
Modes and mechanism of foundation-pit bursting in soft soil
Modeling and simulation of the retina-like image sensor based on space-variant lens array
Modeling the vulnerability of an industrial system: An ideal system of a simplified reactor vessel
Model evidence for interdecadal pathway changes in the subtropics and tropics of the South Pacific Ocean
Modeling early Parkinson's disease pathology with chronic low dose MPTP treatment
Mode-resolved photon counting via cascaded quantum frequency conversion
Modeling and Forecasting Daily Electricity Load Curves: A Hybrid Approach
Modeling Multilook Magnitude and Phase in Extremely Heterogeneous Clutter
Modelling and performance analysis of an adaptive state-transition approach for power saving in Bluetooth
Model-based system configuration approach for Internetware
Modeling elastic-inelastic processes in shape memory alloys at finite deformations
Moderation of Arabidopsis Root Sternness by CLAVATA1 and ARABIDOPSIS CRINKLY4 Receptor Kinase Complexes
Modeling EM Pulse Propagation in the Troposphere Based on the TDPE Method
Modeling of the beam transportation behavior in selective laser transmission sintering the translucent core-shell composite powder
Modelling of plasma aerodynamic actuation driven by nanosecond SDBD discharge
Modeling Ground-Shell Contact Forces in NATM Tunneling Based on Three-Dimensional Displacement Measurements
Modelling the role of surface stress on the kinetics of tissue growth in confined geometries
Modeling and Control Method for High-power Electromagnetic Transmitter Power Supplies
Model-based control of natural ventilation in dairy buildings
Modeling and Predicting the Glass Transition Temperature of Polymethacrylates Based on Quantum Chemical Descriptors by Using Hybrid PSO-SVR
Mode specific elastic constants for the gel, liquid-ordered, and liquid-disordered phases of DPPC/DOPC/cholesterol model lipid bilayers
Modeling granular materials: A test bed for framing and analysis
Modeling multilook polarimetric SAR images with heavy-tailed rayleigh distribution and novel estimation based on matrix log-cumulants
Model of mismatched contact for dislocation generation during coalescence of grains
Model for the Assessment of Seawater Environmental Quality Based on Multiobjective Variable Fuzzy Set Theory
Modeling nonlinear stochastic kinetic system and stochastic optimal control of microbial bioconversion process in batch culture
Modelling and performance analysis for endoreversible Meletis-Georgiou cycle with non-linear relation between specific heat of working fluid and its temperature
Model selection of extreme learning machine based on multi-objective optimization
Mode analysis of 0.14 THz overmoded surface wave oscillator
Moderate deviations for the energy of charged polymer
Mode selection in surface wave oscillator with overmoded structure
Modeling and State Estimation for Dynamic Systems With Linear Equality Constraints
Modeling method for assembly variation propagation taking account of form error
Modeling the inelastic deformation and fracture of polymer composites - Part II: Smeared crack model
Model Prediction of Static Liquefaction: Influence of the Initial State on Potential Instabilities
Modeling, design, and control of 6-DoF flexure-based parallel mechanisms for vibratory manipulation
Modelling of local carbon deposition on a rough test limiter exposed to the edge plasma of TEXTOR
Mode-field half-width and divergence half-angle definitions for a planar waveguide
Modeling of a thermomechanical process chain for sheet steels
Modeling multifilament core fibers by effective index method
Modelling and observing Jovian electron propagation times in the inner heliosphere
Modeling and Algorithms for QoS-Aware Service Composition in Virtualization-Based Cloud Computing
Modeling and animation of fracture of heterogeneous materials based on CUDA
Modeling the Radar Signature of Raindrops in Aircraft Wake Vortices
Model of secondary electron emission at high incident electron energy for metal
Modeling of steel frame structures in fire using OpenSees
Model based real-time collision-free motion planning for nonholonomic mobile robots in unknown dynamic environments
Model reduction of discrete-time switched linear systems over finite-frequency ranges
Modeling of the Elastic Damping Response of a Carbon Nanotube-Polymer Nanocomposite in the Stress-Strain Domain Using an Elastic Energy Release Approach Based on Stick-Slip
Moderate temperature plastic deformation in amorphous Al2O3-ZrO2 ceramics containing different amount of nanocrystals
Models for polarization detection with the modified polarization interference imaging spectrometer
Modeling of MEMS resonator piezoelectric disc by means of an equicharge current source method
Modelling of Ontology-based Service Compositions using Petri Net
Mode field adaptation between single-mode fiber and large mode area fiber by thermally expanded core technique
Modelling and simulation of the task scheduling behavior in collaborative product development process
Modeling creep damage of an aluminum-silicon eutectic alloy
Modelling of the evolution of crack of nanoscale in iron
Modeling viscoelastic behavior of periodontal ligament with nonlinear finite element analysis
Modeling microphysical effects of entrainment in clouds observed during EUCAARI-IMPACT field campaign
Modeling and Control of Heave-Induced Pressure Fluctuations in Managed Pressure Drilling
Model predictive control-based robust stabilization of a chemical reactor
Modeling of deep magnetovariation soundings on the rotating earth
Modelling of solute transport in rivers under different flow rates: A case study without transient storage
Modelling of deformation inhomogeneity in the angular accumulative drawing process-multiscale approach
Modelling of Atlantic salmon (Salmo salar L.) behaviour in sea-cages: Using artificial light to control swimming depth
Modeling Methodology for Engineering SI-POF and Connectors in an Avionics System
Modeling dynamic swarms
Modelling of a borehole heat exchanger using a finite element with multiple degrees of freedom
Modeling quality management in construction projects
Modeling, identification and active vibration control of a funnel-shaped structure used in MRI throat
Modeling and optimal design of relative position detection sensor for high speed maglev train
Modeling and observations of the north-south ionospheric asymmetry at low latitudes at long deep solar minimum
Model of viscous flow of glass-forming liquids and glasses
Modeling of optimal conditions for oxyhemoglobin photodissociation in laser-irradiated biotissue
Modeling and optimization of bioethanol production via a simultaneous saccharification and fermentation process using starch
Modeling Graphene in the Finite-Difference Time-Domain Method Using a Surface Boundary Condition
Model immunoassay on silicon surfaces: Vertical and lateral nanostructure vs. protein coverage
Modeling and profile analysis of a down-flow hanging sponge system treating agricultural drainage water
Modelling of phase diagrams and thermodynamic properties using Calphad method - Development of thermodynamic databases
Modest increase in risk of specific types of cancer types in type 2 diabetes mellitus patients
Modeling of Fishbone Multilateral Well Productivity in Row Well Pattern
Modelling the Emergence and Dynamics of Perceptual Organisation in Auditory Streaming
Modelling Adaptation to Directional Motion Using the Adelson-Bergen Energy Sensor
Moderators view: Estimating glomerular filtration rateuthe past, present and future
Modeling Local Via Structures Using Innovative PEEC Formulation Based on Cavity Green%26apos;s Functions With Wave Port Excitation
Modelling wild-domestic interbreeding: How selection on a quantitative trait affects gene flow at a neutral locus
Modeling, Analysis, and Design of Stationary-Reference-Frame Droop-Controlled Parallel Three-Phase Voltage Source Inverters
Modeling of nanotherapeutics delivery based on tumor perfusion
Model-Based Simulation and Prediction of an Antiviral Strategy against Influenza A Infection
Modeling the Thixotropic Behavior of Waxy Crude
Modeling and Analysis of Projected Cross Point Control-A New Current-Mode-Control Approach
Modeling the characterization of the plus fractions by using continuous distribution function
Model predictive control of linear systems over networks with data quantizations and packet losses
Modeling and solving the bi-objective capacitated location-routing problem with probabilistic travel times
Modeling and Simulation of All-Electric Ships With Low-Voltage DC Hybrid Power Systems
Model of dark conglomerate structure in the B2 phase of bent-shape molecules
Modeling and simulating motions of human bodies in a futuristic distributed tele-immersive collaboration system for synthesizing transient input traffic
Mode-locked thulium-bismuth codoped fiber laser using graphene saturable absorber in ring cavity
Modeling the viscoelasto-plastic behavior of waxy crude
Modeling Information Dissemination in Generalized Social Networks
Modeling of pseudoacoustic P-waves in orthorhombic media with a low-rank approximation
Modeling and simulation of transpiration cooling with phase change
Modeling aspects of a floating wind turbine for coupled wave-wind-induced dynamic analyses
Modeling of dynamics of field-induced transformations in charge density waves
Modeling Temperature Dependency of Ionization Constants of Amino Acids and Carboxylic Acids
Modelling Pedestrian Travel Time and the Design of Facilities: A Queuing Approach
Modelling splice sites with locality-sensitive sequence features
Modeling combustion of micron-sized iron dust particles during flame propagation in a vertical duct
Model reduction and analysis of a vibrating beam microgyroscope
Modeling percolation in polydisperse systems
Modeling the IEEE 802.11e HCCA mode
Modeling and Design Guidelines for P+ Guard Rings in Lightly Doped CMOS Substrates
Modelling the invasion of a Central American Mimosoid tree species (Leucaena leucocephala) in a tropical coastal region of Taiwan
Mode identification in the high-amplitude delta Scuti star V2367 Cyg
Modeling and forecasting of the variable geomagnetic field by support vector machine
Modeling Monthly Fluctuations in Submersion Area of a Dammed River Reservoir: A Case Study
Modeling of the photocatalytic degradation of methyl ethyl ketone in a fluidized bed reactor of nano-TiO2/gamma-Al2O3 particles
Modeling and CFD Simulation of Water Desalination Using Nanoporous Membrane Contactors
Modernized standards in burns management: A comparative study in Komfo Anokye Teaching Hospital, Kumasi, Ghana
Model of the Gears Based on Multibody System and Its Validation by Application of Non-Contact Methods
Modeling the shear hysteretic response for high performance fiber reinforced cementitious composites
Modeling and Simulation of the Artificial Stock Market Trading System
Models and numerical schemes for generalized van der Pol equations
Modeling dendritic solidification of Al-3%Cu using cellular automaton and phase-field methods
Modeling and analyzing the effects of air-cooled turning on the machinability of Ti-6Al-4V titanium alloy using the cold air gun coolant system
Modeling and Simulation Methodology for SOA-Aware Circuit Design in DC and Pulsed-Mode Operation of HV MOSFETs
Modeling of a Circular Plate with Piezoelectric Actuators of Arbitrary Shape
Modeling and Application of Multi-Port TSV Networks in 3-D IC
Modelling transportation and transformation of nitrogen compounds at different influent concentrations in sewer pipe
Modeling of the electrochemical impedance spectroscopic behavior of passive iron using a genetic algorithm approach
Modelling and simulation of a generalised vehicle-to-vehicle fading channel
Modeling of ion transport reactor for oxy-fuel combustion
Modeling lasing of a chalcogenide glass microcylinder
Model for Conformational Relaxation of Flexible Conjugated Polymers: Application to p-Phenylenevinylene Trimers in Nonpolar Solvents
Modeling tides and their influence on the circulation in Prince William Sound, Alaska
Model NOx emissions by least squares support vector machine with tuning based on ameliorated teaching-learning-based optimization
Modeling Spectral Envelopes Using Restricted Boltzmann Machines and Deep Belief Networks for Statistical Parametric Speech Synthesis
Modeling and Design of Ag, Au, and Cu Nanoplasmonic Structures for Enhancing the Absorption of P3HT:PCBM-Based Photovoltaics
Modeling of carbon nanotube field effect transistor with phonon scattering
Modeling the total allowable area for coastal reclamation: A case study of Xiamen, China
Modern approaches to investigation of new excipients for tablet drug technology
Mode of delivery may be the risk factor for infant infectious morbidity
Model of translational cancer research in multiple myeloma
Modeling of electrokinetic desalination of bricks
Modelling of odour dispersion around a pig farm building complex using AERMOD and CALPUFF. Comparison with wind tunnel results
Modelling the Progression of Brain Metastases
Modeling the effect of composition and thermal quenching on the fracture behavior of borosilicate glass
Modelling metal-humic substances-surface systems: reasons for success, failure and possible routes for peace of mind
Modeling of Transient Two-Phase Flow in a Continuous Casting Mold Using Euler-Euler Large Eddy Simulation Scheme
Modeling of the ITER-like wide-angle infrared thermography view of JET
Modelling the ecology and evolution of communities: a review of past achievements, current efforts, and future promises
Modeling and monitoring for handling nonlinear dynamic processes
Models of dust around Europa and Ganymede
Modelling snow accumulation on Greenland in Eemian, glacial inception, and modern climates in a GCM
Modelling eddy current testing of ferromagnetic medium
Modelling concentration fluctuations for operational purposes
Modelling spherical explosions with turbulent mixing and post-detonation
Modelling large crack propagation: from gradient damage to cohesive zone models
Modeling as a backup tool for the history of science and technology
Modeling of Switched Reluctance Linear Launcher
Modeling and characterization of generation of 3D micro-structured surfaces with self-cleaning and optical functions
Modeling the Freezing of Molten Copper Nanoclusters: The Effect of Quenching Temperature and Cluster Size
Modeling of a Thermal-Electrical-Mechanical Coupled Field Contact
Modeling Highly Resolved Spectroscopies of Complex Materials
Modern Trends in Tungsten Alloys Electrodeposition with Iron Group Metals
Modeling of Rheological Deformation of Inhomogeneous Rock and Associated Time-Dependent Response of Tunnels
Modeling Human Dynamics of Face-to-Face Interaction Networks
Modeling of particle stability and coagulant dosage in conventional water treatment
Modelling nanoparticles formation in the plasma plume induced by nanosecond pulsed lasers
Modeling of high contrast partially electroded resonators by means of a polynomial approach
Modelling the energy demand projection of the building sector in Greece in the 21st century
Modeling the dynamic characteristics of human ossicles
Model selection in regression under structural constraints
Modelling of the total electronic content and magnetic field anomalies generated by the 2011 Tohoku-Oki tsunami and associated acoustic-gravity waves
Modelling of the pellet deposition profile and del B-induced drift displacement in non-axisymmetric configurations
Modeling global nutrient export from watersheds
Modeling the effect of antecedent soil water storage on water and heat status in seasonally freezing and thawing agricultural soils
Modeling the Role of Peroxisome Proliferator-Activated Receptor gamma and MicroRNA-146 in Mucosal Immune Responses to Clostridium difficile
Model prediction of soil drainage classes over a large area using a limited number of field samples: A case study in the province of Nova Scotia, Canada
Model Prediction of Long-Term Reactive Core Mat Efficacy for Capping Contaminated Aquatic Sediments
Modelling thermal radiation in buoyant turbulent diffusion flames
Modeling Parkinson's Disease in Primates: The MPTP Model
Modeling of thin conductive and magnetic layers in eddy current testing by overlapping finite elements
Modeling and Parameter Identification Involving 3-Hydroxypropionaldehyde Inhibitory Effects in Glycerol Continuous Fermentation
Moderators of Treatment Effectiveness for War-Affected Youth With Depression in Northern Uganda
Modelling of composite right/left-handed transmission line based on fractal geometry with application to power divider
Modeling the relaxation time of DNA confined in a nanochannel
Modeling plasmonics: A Huygens subgridding scheme for Lorentz media
Modeling and Position-Sensorless Control of a Dual-Airgap Axial Flux Permanent Magnet Machine for Flywheel Energy Storage Systems
Modelling of impaired cerebral blood flow due to gaseous emboli
Model Checking of Linear-Time Properties Based on Possibility Measure
Modeling of the Dish Receiver With the Effect of Inhomogeneous Radiation Flux Distribution
Model for Predicting Distribution of Link Travel Times for Urban Signalized Roads
Modelling the research process as a deep learning strategy
Modeling Contingent Liabilities Arising from Government Guarantees in Indonesian BOT/PPP Toll Roads
Modeling and stress analysis of large format InSb focal plane arrays detector under thermal shock
Modeling the Greek energy system: Scenarios of clean energy use and their implications
Models of the cutting edge geometry of medical needles with applications to needle design
Modeling and Backstepping Control of the Electronic Throttle System
Modeling fast biomass pyrolysis in a gas-solid vortex reactor
Modeling impacts of sediment delivery ratio and land management on adsorbed non-point source nitrogen and phosphorus load in a mountainous basin of the Three Gorges reservoir area, China
Modern incursions of tropical Radiolaria into the Arctic Ocean
Modeling Multiple Response Processes in Judgment and Choice
Model-based networked control systems with multi-rate input sampling
Modeling of drug release from biodegradable triple-layered microparticles
Modeling Method for Reliability of Components with Cyclosymmetric Structure
Mode Control in Planar Waveguide Grating Couplers With Double Surface Corrugation
Model Membrane Platforms for Biomedicine: Case Study on Antiviral Drug Development
Modeling academic achievement by self-reported versus traced goal orientation
Modeling wet deposition and concentration of inorganics over Northeast Asia with MRI-PM/c
Modeling and characterization of surface generation in fast tool servo machining of microlens arrays
Modeling Preventative Strategies against Human Papillomavirus-Related Disease in Developed Countries
Modeling and finite element analysis on GTAW arc and weld pool
Modeling supraglacial water routing and lake filling on the Greenland Ice Sheet
Modeling the paraelectric aging effect in the acceptor doped perovskite ferroelectrics: role of oxygen vacancy
Modeling and Simulating Dynamics of Complete- and Poor-Response Chronic Hepatitis B Chinese Patients for Adefovir and Traditional Chinese Medicine Plus Adefovir Therapy
Model for self-polarization and motility of keratocyte fragments
Model-Based Estimation of Knee Stiffness
Modeling the ductile fracture behavior of an aluminum alloy 5083-H116 including the residual stress effect
Modeling ultrafiltration of gelatin-water suspension by computational fluid dynamics
Modeling the electron-impact dissociation of methane
Modeling of plasma processes in the slowly diverging magnetic fields at the exit of an applied-field magnetoplasmadynamic thruster
Modeling Pathogenic Mutations of Human Twinkle in Drosophila Suggests an Apoptosis Role in Response to Mitochondrial Defects
Model studies of force-dependent kinetics of multi-barrier reactions
Modeling and Control for Giant Magnetostrictive Actuators with Rate-Dependent Hysteresis
Mode of birth and women's psychological and physical wellbeing in the postnatal period
Modelling tuberculosis trends in the USA
Modeling the Motion of Microrobots on Surfaces Using Nonsmooth Multibody Dynamics
Modeling on nonlinear dynamics of vehicle shimmy with clearance of movement pair
Modelling undesirable outputs in eco-efficiency evaluation to paper mills along the Huai River based on Shannon DEA
Modeling consumers'; acceptance of Tuangou in China
Modeling Indirect Influence on Twitter
Modeling the Crystallization of Spherical Nucleic Acid Nanoparticle Conjugates with Molecular Dynamics Simulations
Modeling and Analysis of Fiber Bragg Grating Based Visible Pr3+-Doped Fiber Lasers
Model tests on soil restraint to pipelines buried in sand
Modelling the vertical structure of the atmospheric boundary layer over Arctic fjords in Svalbard
Modelling interrupted time series to evaluate prevention and control of infection in healthcare
Model evolvement and reuse technology of injection molding machine based on performance knowledge
Modeling compliant non-penetration constraint for VP motion simulation
Model predictive control
Moderate-Deviation-Based Inference for Random Degeneration in Paired Rank Lists
Modeling and reasoning about the security of drive-by-wire automobile systems
Modeling Credit Card Share of Wallet: Solving the Incomplete Information Problem
Modern, certified building automation laboratories AutBudNet - put "learning by doing" idea into practice
Modelling lifetimes by quantile functions using Parzen's score function
Modelling HIV/AIDS Epidemic among Men Who Have Sex with Men in China
Modeling and control for wireless networked control system
Modeling the impedance of an electron-ionic conductor by taking into account the intercalation process
Modelling the diurnal variations of urban heat islands with multi-source satellite data
Modeling and Analysis of Coupling Performance Between Passive Magnetic Bearing and Hybrid Magnetic Radial Bearing for Magnetically Suspended Flywheel
Modeling municipal solid waste landfill settlement
Modeling Contra-Rotating Turbomachinery Components for Engine Performance Simulations: The Geared Turbofan With Contra-Rotating Core Case
Mode-coupling analysis and trench design for large-mode-area low-cross-talk multicore fiber
Modeling of ammonia combustion at low pressure
Modeling of poling behavior of ferroelectric 3-3 composites
Modeling of conducting bridge evolution in bipolar vanadium oxide-based resistive switching memory
Modeling Mortgages with Prepayment Penalties
Modeling sub-sea permafrost in the East Siberian Arctic Shelf: The Laptev Sea region
Model Update March 2011: Upper Mantle Heterogeneity beneath North America from Traveltime Tomography with Global and USArray Transportable Array Data (vol 83, pg 23, 2012)
Mode Classification of Linear Adiabatic Oscillations of Spherically Symmetric Stars
Modelling the long-term dynamics of pre-vaccination pertussis
Modelling of thrombus growth and growth stop in flow by the method of dissipative particle dynamics
Modelling of lateral dynamics for an endless metal process belt
Modeling Propagation of Seismic Airgun Sounds and the Effects on Fish Behavior
Modeling and Design of High-Speed Ultralow Voltage GaAs Electro-optic Modulators Enabled by Transparent Conducting Materials
Modeling the Self-Assembly of Peptide Amphiphiles into Fibers Using Coarse-Grained Molecular Dynamics
Modelling microstructural evolution during annealing of inhomogeneously deformed material
Modeling distributed parameters in evaporation system with twin-furnace once-through boiler
Model and solution algorithm with combined travel under elastic demand
Modeling of organic matter degradation and thermal balance during pig slurry aerobic composting
Modeling the internet routing scalability: From qualitative description to quantitative evaluation
Modeling and optimization of exact inventory cost with dynamic pricing policy
Modeling and inference of extended interval temporal logic for nondeterministic intervals
Modeling and algorithm research on export container slots allocation under dynamic environments
Modeling multimedia synchronization using petri nets
Model simplification and calculation method analysis about the shunt of DC grounding current via AC grid
Mode and mechanism of multi-pulse glow discharges in helium at atmospheric pressure based on voltage-current characteristics
Modeling the diurnal pattern of worm propagation: Initial results
Modeling forward acoustic scattering by underwater objects with experimental observation
Modeling and design for dynamic workflows based on flexible activities
Modeling and analysis of post - Purchase intentions using partial least squares
Modeling and control program design of automated storage and retrieval system
Modelling significant wave height distributions with quantile functions for estimation of extreme wave heights
Modeling and stochastic control of networked control systems with packet loss
Modeling and real-time vibration control of a flexible structure using piezoceramic actuators
Modelling and adaptive vibration control of a flexible cantilever beam
Model checking rate monotonic scheduling algorithm based on propositional projection temporal logic
Modelling and analyses of WSN-based pursuit-evasion strategies for multi-pursuers to multi-evaders
Mode transmission characteristics in hollow-core Bragg fibers with slowly variation in outer diameter
Modeling of hot gas flow through a feed stream within a horizontal pipe
Modeling and stability analysis for parallel operation of UPS with no control interconnection basing on droop characteristic
Modeling and analysis of the impregnation process during resin film infusion process
Model for evaluating the operational readiness of complex weapon system during the mission preparation period
Modes of intermediate-frequency vacuum arc and characteristics of arc voltage under transverse magnetic field
Model of urban road traffic congestion degree analysis faced to traffic planning
Modeling the shape of the scene: A holistic representation of the spatial envelope
Modelling of micro-channel methanol-steam reforming for hydrogen production
Modeling and analysis of procurement logistics system based on the value model and SOA
Modelling seepage flow velocity in centrifuge models
Modeling and identification of high speed high accuracy lightweight stages with flexible arms
Modeling the hydrodynamics of downer reactors based on kinetic theory
Model and algorithm for asymmetric multimodal user equilibrium with turn delays
Modeling of ball-end milling cutter and vibration analysis in hard milling
Models of vegetation and soil coupling coordinative degree in grain for green project in depressions between karst hills
Model study on pit excavation of cohesive soil
Modeling and simulation of hydraulic breaker system
Modeling the distance error and performance analysis in IR-UWB positioning system
Modeling and simulation of power saving mechanism for IEEE 802.16e mobile wimax
Model predictive control for networked control systems with arbitrary and bounded packet loss
Modelling the observed oscillations in the adsorption kinetics of propyltrimethoxysilane on iron and aluminum oxide surfaces
Model and neural control of leg cycling movement
Modeling of SNCR system in coal-fired utility boiler based on support vector machine
Models and simulations of on-ramp system considering conformity effect
Modeling and analysis of internet differentiated services traffic
Model validation of multirate systems from time-domain experimental data
Modeling and analysis of self-similar traffic source based on fractal-binomial-noise-driven Poisson process
Modeling user hidden navigational behavior for Web recommendation
Modeling of helical end mills in the NC process simulation
Modeling atrazine transport in soil columns with HYDRUS-1D
Modelling of developing course of piping with time
Modeling of acoustic emission due to crack growth with finite element method and time-frequency analysis of the signal
Modelling study on fluid flow, heat transfer and inclusion removal in tundish of 6-strand bloom caster
Model of ground vibration generated by slab track
Modeling and calculation analysis of torsional vibration for turbine generator shafts
Modeling and simulation of thermal system in power station based on system dynamics
Model of one-dimensional thermal stress applied in paint removal by Q-switched short pulse laser
Modeling and analysis of the hopping pneumatic mechanism for a scout robot
Modeling and prediction of water loss and soil erosion for high-relief mountainous region: Case study of Qamdo region
Model for predicting phase inversion in oil-water two-phase pipe flow
Model and simulation for radiative heat transfer in a boiler furnace
Modeling of VCM-DDV and control simulation
Modeling and simulation of soft ground arresting system for aircraft
Modeling soil component temperature distribution by extended CUPID model
Modeling and solving to raw materials logistics planning problem in iron and steel industry
Modeling and simulation of piezoelectric cantilever generators
Model checking cooperative multi-agent systems in BDI logic
Model checking Statecharts based on EHA
Modelling and analysis of semiconductor test system with quality re-entrance and stochastic characteristic
Modeling soil moisture responses under evaporative conditions
Modeling of product family stricture and module analysis method based on complex network
Modeling of spraying velocity for welding aerosols
Model updating based approach for structural damage identification
Modelling of phase separation in binary fluid under vibration with LBM
Modeling and heuristics of multi-vehicle direct delivery cross docking scheduling
Modeling and analysis of moving-magnet transverse-flux linear oscillatory motor
Modeling and simulation of E1784K mutation and sodium ionic channel diseases
Modeling method by examples
Modeling and algorithm of data transmission system of ground station based on radial basis function neural network
Model of satellite data transmission scheduling problem based on multi-satellite combined reconnaissance
Modeling and eliminating of multipath and distance-dependent errors on virtual reference stations
Model checking the reliability of interactive behaviors for mobile computing
Model free adaptive control based freeway ramp metering with feedforward iterative learning controller
Modeling of reactive sputtering of AlN
Modeling and simulation of DFIG decoupling control based on IP control
Modeling and simulating risk behaviors in virtual mine based on multi-agent
Model tests on bearing capacities of suction anchors with taut mooring systems under combined static and cyclic loads
Modeling the relationship between texture semantics and textile images
Modeling and simulation of pathophysiology and pharmacology in human ventricular cell and tissue
Models for recycling and production policy for a closed-loop system
Modeling and control for DFIG-based wind farm with hybrid HVDC connection
Model for value creation in mobile government: An integrated theory perspective
Modeling and feed-forward control based on piezoelectric ceramic hysteretic
Modeling pedestrian crossing preference: A behavioral analysis based approach
Modeling and analysis of BGP routing convergence time
Modelling and optimization methods for the sequencing problem with batching decision in the continuous-casting and rolling production
Model test and numerical analysis on long-term mechanical properties of stepped reinforced retaining wall
Modeling and simulation of micromachined accelerometer using multi-port-element network method
Model of large PV and its applications on power system analysis
Modeling for orientation deviation of workpiece and analysis of machining accuracy
Modeling and analysis of exception handling based on multi-agent in computer supported collaborative design
Model counting with boolean algebra and extension rule
Modeling and simulation on dynamic allocation and scheduling of multi-resource problem
Modeling of strongly coupled flow-induced vibration and solving method on predictor multi-corrector algorithm
Model reference adaptive speed control of ultrasonic motor based on Lyapunov theory
Modeling and nonlinear computed torque control of ship-mounted mobile satellite communication system
Modeling algorithm using accumulated generating operation and weighted product
Model identification in interactive influence diagrams using mutual information
Modeling and control of piezo-stage using neural networks
Modeling for hybrid system based on resource distribution hybrid Petri nets
Modeling agents based on aspiration adaptation theory
Model parameters identification method for wind farms based on wide-area trajectory sensitivities
Modeling of traffic flow at signalized intersection with bus stop downstream
Modeling method for scheduling hydro thermal power system based on benefit maximization
Model of weighted distance discriminant analysis and application for deep roadway
Modeling On-ramp Capacity with Driver Behavior Variation
Modeling of Gibbs energy of formation of organic compounds by linear and nonlinear methods
Modeling a magneto-rheological soft starter for use with belt conveyors
Modeling for reliability of pressurizer safety valve in nuclear power facilities
Model of independent innovation investment value in national key technology under technology restriction
Modelling and numerical analysis of moisture, heat and stress in seasonal frozen soil
Modeling of atmospheric polarization pattern based on vector radiative transfer
Modeling circulation of train-set with multiple routing
Modeling the distribution setting of parking tracks for disabled trains in urban rail transit system of China
Model and method of debris flow risk zoning based on momentum analysis
Modeling round trip time of large scale information centric networking
Modeling and performance simulation for a picking manipulator based on modelica
Modeling of enterprises risk management and its robust solution method
Modeling method for pulsed GTAW welding process based on variable precision rough set
Modeling strain rate effect for heterogeneous brittle materials
Model of desired speed based on vehicle dynamic
Modeling the machining parameters in end electric discharge milling of silicon carbide ceramic
Model predictive control for constrained uncertain piecewise linear systems
Model predictive control-status and challenges
Modeling and Experimental Research of Four-Strand Low-Frequency Electromagnetic Casting Aluminum Alloy
Modeling and sensitivity analysis of forty-thousand air separation column
Model based on two-fluid theory for predicting hydrodynamics behavior in 3D fluidized beds (I) homogeneous or bubbling fluidization of gas-solid system
Modeling and simulation of isothermal chamber
Modeling the hydrologic responses of the Pampanga River basin, Philippines: A quantitative approach for identifying droughts
Modeling of a landing gear damper based on rheological technology
Mode calculations of resonators for slab lasers: Fast Fourier transform method
Mode I crack tip plastic zone, dislocation-free zone and their effects on crack propagation
Modeling and analysis of engineering simulator for HTR-PM based on THERMIX/BLAST code and vPower simulation platform
Model test of ice-induced vibration on compliant vertical four-pile structure
Model test of ice-induced vibration on compliant vertical pile
Modeling and model verification of complex hysteresis property of voice coil motor under high frequency response
Model and algorithm for taking out and placing in wagons in shunting operation on radial private lines
Model based on two-fluid theory for predicting hydrodynamics behavior in 3D fluidized beds (II) fluid dynamics of liquid-solid system
Modelling of recrystallization behaviors and the evolution of γ-grain size during grain-refining rolling of super-steel
Modelling of a nozzle-flapper type pneumatic servo valve including the influence of flow force
Modeling and control of the DFIG based wind-power generation system under unbalanced grid voltage conditions
Modeling and analysis of multipath eliminating technique of PN code ranging receiver
Modeling of high-voltage LDMOS for PDP driver ICs
Modeling on-road particle number emissions from a hybrid diesel-electric bus: Exploratory econometric analysis
Modelling and simulation of the airflow field in wedge-shaped zone during the high-speed grinding
Modeling and monitoring of online shopping business processes based on system behavior patterns
Model updating for hybrid testing with unscented Kalman filter
Modeling study of water renewal time in Yinfu reservoir, China
Model calculates acid-gas injection profiles
Modeling of SiC MOSFET with temperature dependent parameters
Modelling of distributed parameter system by KL decomposition and RBF neural network
Modeling the efficiency of knowledge transfer in the industrial technology innovation alliance
Model test on longitudinal mechanical properties of single and double layered linings for railway shield tunnel
Mode conversion in the asymmetric coplanar waveguide bend
Model testing and numerical simulation study of evolutionary process of thrust load caused landslide
Model updating for constraint boundary conditions of member structures using incomplete measured modes
Modelling qualitative direction relations between indeterminate regions
Model analysis and experimental investigation of the friction torque during the CMP process
Model based parameter estimation accelerated by lifting wavelet-like transform
Model checking probabilistic timed automata in the presence of uncertainties
Modeling of functional construction requirements for constructability analysis
Model predictive control for nonlinear unsymmetrical systems
Modeling and analysis of controllable output property of the cantilever-beam inertial sensors based on magnetic fluid
Model test and numerical simulation study of dynamic characteristics of rock slope under blast loading
Modeling and system identification of the braking system of urban rail vehicles
Model test study of mechanism of layered fracture within surrounding rock of tunnels in deep stratum tunnelling under dynamic disturbance
Model and its algorithm for modeling parking behavior with capacity constraints
Modeling and dynamic characteristics analysis of the valve-controlled hydraulic swaying cylinder in bionic underwater thruster
Modeling of mechanical motion scheme based on evolutive symbols
Model for elastic light scattering from nucleated cells
Modeling of time to corrosion cracking in reinforced concrete structures
Modeling and analyzing resource schedules in grid environments
Modeling and quantitative security evaluation for electric power information systems
Modeling and simulation of space-time array GPS IF signal
Model updating based on radial basis function neural network
Modeling and prediction of capacity of MH/Ni batteries based on radial basis function neural network
Model of QoS degrading threshold and its computation for avoiding useless re-selection
Modeling of natural NOx and VOC emissions and their effects on tropospheric photochemistry in China
Modeling of autonomous network information service
Modelling and controlling of a random curve
Model of stepped leader current for simulation of lightning
Model of spare parts optimization based on estimation of distribution algorithms
Modeling of grounding electrode for lightning transient response analysis
Modeling and precision analysis of spatial baseline modification of formative satellites InSAR
Modelling of pollutant dispersion in double-lane street canyons
Model structure analysis in the application of multivariable predictive control
Model tests on settlement of a single pile in saturated sand under unilateral cyclic loading
Model and method to determine dwell time in ion beam figuring
Modeling and simulation of Maglev vehicle structure dynamics
Modeling and analysis on extruding force in pelleting process
Modeling of variable speed compressors
Modeling and simulation analysis for wind power generation system based on saber
Model of wall thickness of the part formed by single-pass laser cladding
Modeling of contact resistance in ground system and analysis on its influencing factors
Modeling and analysis of DC link voltage control for universal power quality controllers
Modeling and analysis of characteristics of PV module with partial shading
Model for coupling diffusion of volatile poisons in water and air
Model test of water content control of saturated over-wet soil
Modeling of daily pan evaporation using partial least squares regression
Modernist Mode in The Man Without a Temperament
Modeling and analyzing Web services composition using timed probabilistic priced process algebra
摩登舞对优化大学生人文素质教育的作用
Model of subgrade soil responding to change of atmosphere under evaporation and its influential factors
Model1000水下机器人容错控制
Model-based testing for Web applications
Model for predicting the migration characteristics of nanoparticles during the boiling of nanorefrigerant containing lubricating oil
Model-based diagnosis of controller fault in hybrid system
Model Builder建模及在铀矿资源评价中的应用
Modeling and numerical analysis of internal enhanced direct thermoelectric conversion system
ModernTPS的剂量学验证
Model and calculation example analysis for hybrid multiple attribute decision-making based on TOPSIS and membership function
Modeling of BESS for smoothing renewable energy output fluctuations
Models-3/CMAQ和CAMx对珠江三角洲臭氧污染模拟的比较分析
Modern Sound-light Electrochemical Technology and Public Art
Modeling and verifying services of internet of things based on timed automata
墨的药学研究概况
摸得着的正义——论刑事被害人利益填补机制
摩登舞重心移动技术探讨
Model-driven safety dependence verification for component-based airborne software supporting airworthiness certification
Modeling and analysis of a circular PZT energy-generator used in hydraulic liquid absorber
Modeling of driver';s directional control behavior with cerebellar model articulation controller
Mode hopping detection for fiber laser based on dynamic phase changes in interferometer
Modernism-An inevitable part of Contemporary Culture
Model for transforming Vague sets into Fuzzy sets based on convex simplex
Model analysis of ultrasound vibrometry
Model test study of slope failure progress
Modeling and strength analysis of cylindrical gears with curvilinear shape teeth for manufacture
Mode transition from pattern to diffuse in dielectric barrier discharge of neon
Modeling and experimental research of crystallization process of static droplet
Model free adaptive control and parameter tuning based on second order universal model
摩登与反摩登——民国报刊建构的女性身体及其现代意义
Modeling of a UWB communication system based on a parallel combinatory spread spectrum and its simulation analysis
Modeling and parameter identification approach for power battery pack used in electric vehicle
Modern Stream of Consciuosness in A Rose for Emily
Modeling and study of digital/analog hybrid simulation for bulk grid with multi-analog HVDC simulators
膜的化学清洗及综合效应评价
Modeling of underwater vehicles'planar maneuvering motion based on support vector machines
Modeling and parametric study of beam-to-column connection for self-centering steel moment frames
Modeling and comparative study on multiple battery energy storage systems
Modest Maps的Flash网络地图发布与应用
Mode distributions of large-aperture high-power thin disk laser and beam quality diagnosis
Modeling on bubbly to churn flow pattern transition in narrow rectangular channel
Model analysis of bladed disk coupled system of compressor with low aspect ratio
Model research of hybrid-compression conformal predictor with confidence
Model counting and planning using extension rule
Modeling of prestressed anchors in rock slope under earthquake and optimization of anchor arrangement
Modeling of NiMH power battery pack
Modeling and key problems of conceptual design of generalized mechanical system
Model of underwater high-voltage discharge using time-varying resistance
Model-driven and component-based development method of Agent-based simulation models
Modeling and simulation for shield earth pressure balance control based on the pressure field of the pressure chamber
Modeling and simulation of system dynamics for logistics organization innovation
Modeling and simulation of supply chain scheduling in mass customization
Modeling of traffic flows based on multi-delay kinetic system
Modelica扩展建模的故障诊断技术研究
Model-based two-stage heuristic algorithm for the unit commitment problem
Modeling evaporation duct over sea with pseudo-refractivity and similarity theory
Model of stocks'volatility value based on option games
Model of semiconductor gas sensor for monitoring dissolved gases in insulation oil
Model checking CTL based on complete abstraction interpretation
Modeling and simulation of pulsed welding inverter
Modeling of dynamic voltage support optimization for receiving networks in guangdong
Model test for characteristics of failure process of layered rock mass in deep buried tunnel
Modeling and empirical analysis of functional matching degree of urban road networks
Modeling algorithm for new mode in multi-mode process
Modeling algorithm for new transitional mode of multi-mode process based on the characteristics of history data
Model of argumentation
Modeling study and dynamic analysis under special working conditions for a PEMFC stack
摩登舞不同舞种的升降技术特性
摩登上海的后现代想象——张建亚电影《王先生之欲火焚身》再解读
Modex3D在注塑成型模拟分析中的应用
Modelica语言及其多领域统一建模与仿真机理
Model test study of the static property of the Jiangdong Bridge in Hangzhou
Modeling and optimal vibration control of conical shell with piezoelectric actuators
Model and algorithm of combined trip under park and ride
Modeling delay-varying uncertain situations using stochastic timed influence nets
Modelling and Fuzzy Control of an Efficient Swimming Ionic Polymer-metal Composite Actuated Robot
Modeling the dynamic impacts of multi-modal guidance information on commuters' trip chain
Modeling nitrous oxide production during biological nitrogen removal via nitrification and denitrification: Extensions to the general ASM models
Modeling the response of within-storm runoff and erosion dynamics to climate change in two Mediterranean watersheds: A multi-model, multi-scale approach to scenario design and analysis
Modeling cyclic behavior of geosynthetics using mathematical functions combined with Masing rule and bounding surface plasticity
Modeling the dynamic sandwich system with hysteresis using NARMAX model
Modeling of damage in materials during hot deformation based on the Zener-Hollomon parameter
Modeling the effects of agricultural BMPs on sediments, nutrients, and water quality of the Beaurivage River watershed (Quebec, Canada)
Model of fluid-structure interaction and its application to elastohydrodynamic lubrication
Model-independent search for CP violation in D-0 > K-K+pi(-)pi(+) and D-0 -> pi(-)pi(+)pi(+)pi(-) decays
Modeling, Analysis, and Applications of Complex Systems
Modeling and experiment on thermal performance of vacuum glazing
Modeling and simulation of train movements under scheduling and control for a fixed-block railway network using cellular automata
Model Predictive Control for High-speed Train with Automatic Trajectory Configuration and Tractive Force Optimization
Modeling Human Liver Biology Using Stem Cell-Derived Hepatocytes
Modeling of oscillatory shear flow of emulsions under small and large deformation fields
Mode multiplexed single-photon and classical channels in a few-mode fiber
Modeling Spatial Correlation of DNA Deformation: DNA Allostery in Protein Binding
Model checking in regression via dimension reduction
Model-Predictive Gust Load Alleviation Controller for a Highly Flexible Aircraft
Modeling of bicycle flow based on dynamic floor field and cellular automata
Modeling stochastic live load for long-span bridge based on microscopic traffic flow simulation
Modeling and test on HEV lead-acid batteries
Modeling and analysis of ground physics experiments on orbit movements around the earth
Modeling the dynamic changes in concentrations of gamma-hexachlorocyclohexane (gamma-HCH) in Tianjin region from 1953 to 2020
Mode-selecting acoustic filter by using resonant tunneling of two-dimensional double phononic crystals
Mode-locked multichromatic x rays in a seeded free-electron laser for single-shot x-ray spectroscopy
Modeling of Cell Cultures in Perfusion Bioreactors
Modeling patterns of nonlinearity in ecosystem responses to temperature, CO2, and precipitation changes
Modeling eta(5)-C5Me4SiMe3 with eta(3)-C3H5 for DFT study of a tetranuclear yttrium polyhydrido complex [(eta(5)-C5Me4SiMe3)YH2](4)
Modeling air quality during the California Regional PM10/PM2.5 Air Quality Study (CRPAQS) using the UCD/CIT source-oriented air quality model - Part I. Base case model results
Modeling particulate matter in the San Joaquin Valley with a source-oriented externally mixed three-dimensional photochemical grid model
Modeling Thermal Conductivity of Thermally Sprayed Coatings with Intrasplat Cracks
Modeling Gender-Based Differences in Mode Choice considering Time-Use Pattern: Analysis of Bicycle, Public Transit, and Car Use in Suzhou, China
Model of road traffic delay caused by curb parking
Modeling air quality during the California Regional PM10/PM2.5 Air Quality Study (CPRAQS) using the UCD/CIT source-oriented air quality model - Part III. Regional source apportionment of secondary and total airborne particulate matter
Modeling air quality during the California Regional PM10/PM2.5 Air Quality Study (CPRAQS) using the UCD/CIT Source Oriented Air Quality Model - Part II. Regional source apportionment of primary airborne particulate matter
Modeling the pull-in behavior of electrostatically actuated micro beams by an approximate finite element method
Modelling workflows and collaboration in virtual supply chains with nested modular Petri nets
Model study on neutral winds in the ionospheric F-region and comparison with the equivalent winds derived from the Wuhan ionosonde data
Model for light scattering in biological tissue and cells based on random rough nonspherical particles
Modeling phase functions in biological tissue
Modeling of pulsed-laser guide stars for the Thirty Meter Telescope project
Modeling and simulation on the gas generator and gas control valve of solid ducted rockets
Modeling risk degree of conflicts between crossing pedestrians and vehicles at signalized intersections
Modeling unsafe bicycle-riding behavior in China by the theory of planned behavior and structural equation
Modeling the Excited States of Biological Chromophores within Many-Body Green's Function Theory
Modeling of a EEPROM device based on silicon quantum dots embedded in high-k dielectrics
Model-based definition process information modeling and application
Model test of influence of excavating new interchange tunnel on existing tunnel
Model-independent analysis of p plus p -> pp(S-1(0)) + gamma
Modelling of the liquid membrane electrochemical etching of a nano-tip
Modeling of space-time clutter for bistatic space based radar
Modest additive effects of integrated vector control measures on malaria prevalence and transmission in western Kenya
Modeling Activity-Dependent Plasticity in BCM Spiking Neural Networks with Application to Human Behavior Recognition
Modeling landscape structure response across a gradient of land cover intensity
Modelling and Simulating of Risk Behaviours in Virtual Environments Based on Multi-Agent and Fuzzy Logic
Modeling asphaltene precipitation and flow behavior in the processes of CO2 flood for enhanced oil recovery
Model-based optimization of HCCI combustion rule
Modelling and Simulating the Spatio-Temporal Correlations of Clustered Wind Power Using Copula
Models of baryogenesis via spontaneous Lorentz violation
Modeling and Measurement of Geometrically Nonlinear Damping in a Microcantilever-Nanotube System
Modelling and simulation of flow with heat transfer and cracking reaction for hydrocarbon
Modeling quantification of the influence of soil moisture on subslab vapor concentration
Model Studies of the Dynamics of Bacterial Flagellar Motors
Modelling carbon membranes for gas and isotope separation
Modeling and experiments of rotor system with oil-block inside its drum cavity
Mode-I-crack compression modeling and numerical simulation for evaluation of in-situ stress around advancing coal workfaces
Model estimates of methane emission from irrigated rice cultivation of China
Modelling Growth-Competition Relationships in Trembling Aspen and White Spruce Mixed Boreal Forests of Western Canada
Modelling subsurface storm flow with the Representative Elementary Watershed (REW) approach: application to the Alzette River Basin
Modeling runoff generation in the Geer river basin with improved model parameterizations to the REW approach
Model predictive control algorithm for heater bypass temperature control
Modeling and design of networked control system with packet dropout fault at the actuator
Modeling impacts of farming management alternatives on CO2, CH4, and N2O emissions: A case study for water management of rice agriculture of China
Modelling financial investment planning from agent perspectives
Modelling the health impact of environmentally sustainable dietary scenarios in the UK
Model development and parameter estimation for a hybrid submerged membrane bioreactor treating Ametryn
Modeling Risk Allocation in Privately Financed Infrastructure Projects Using Fuzzy Logic
Modelling of potential food policy interventions in Fiji and Tonga and their impacts on noncommunicable disease mortality
Modelling and analysing track cycling Omnium performances using statistical and machine learning techniques
Modelling the impact of oil prices on Vietnam's stock prices
Modelling Engineering Student Academic Performance Using Academic Analytics
Modelling post-deformation softening kinetics of 304 austenitic stainless steel using cellular automata
Modelling of fibre-diameter-dependent light scattering to determine diameter corrections for colour measurement of wool
Modeling and Optimization of a Solenoidal Integrated Inductor for RF ICs
Modeling and real-vehicle validation for a hybrid electric bus based on energy management
Model odor-oriented predators and prey
Modeling analysis of server loading in large-scale P2P-VoD systems with actively collaborative helpers
Modeling epidemic based on Penna model
Modeling vertical bearing capacity of pile foundation by using ABAQUS
Model to Predict Hyperbilirubinemia in Healthy Term and Near-Term Newborns with Exclusive Breast Feeding
Modeling of agile intelligent manufacturing-oriented production scheduling system
Mode entropy and dynamical analysis of irregularity for HFECG
Modeling of Task Planning for Multirobot System Using Reputation Mechanism
摩登舞升降技法与文化品质
Model study on acidifying wet deposition in East Asia during wintertime
Modeling and application of stepped impedance resonators with double coaxial structure
Model study of the impact of biogenic emission on regional ozone and the effectiveness of emission reduction scenarios over eastern China
Model test for the V-shape pier-girder joint of long-span V-shape rigid frame composite arch bridges
模的广义局部化及其性质
Modelsim与MATLAB/Simulink联合仿真技术研究及应用
摩登女性:《良友》画报中的女性形象建构
摩登舞技术探析
Modeling global solar radiation using Particle Swarm Optimization (PSO)
Modern standard Arabic speech corpus for implementing and evaluating automatic continuous speech recognition systems
Model of the 2011 Great East Japan earthquake (M=9.0)
Model of photoluminescence from ion-synthesized silicon nanocrystal arrays embedded in a silicon dioxide matrix
Mode structure in the far field radiation of a leaky-wave multiple quantum well laser
Modeling the pre-earthquake electrostatic effect on the F region ionosphere
Mode decision acceleration for H.264/AVC to SVC temporal video transcoding
Modelling of electrification in steady state and transient regimes
Model of delocalized atoms in the physics of the vitreous state
Mode decision acceleration for scalable video coding through coded block pattern
Modelling single shot damage thresholds of multilayer optics for high-intensity short-wavelength radiation sources
Mode and vibration characteristics of a flexible manipulator with elastic restraint joint
Model Simulation and Analysis of Spatiotemporal Dynamics for the Electro-Oxidation of Sulfide on Platinum
Modeling progressive failures in rock slopes with non-persistent joints using the numerical manifold method
Modeling the Boron-Doping Silicon Beam by a Multilayer Model
Modeling complex responses of FM-sensitive cells in the auditory midbrain using a committee machine
Model and algorithm for bilevel newsboy problem with fuzzy demands and discounts
Modelling and simulation of whirling process based on equivalent cutting volume
Modeling evolutions of plastic strain, maximum transformation strain and transformation temperatures in SMA under superelastic cycling
Modeling and Analysis of Epidemic Diffusion with Population Migration
Modeling anisotropic diffusion using a departure from isotropy approach
Model-driven rebalancing of the intracellular redox state for optimization of a heterologous n-butanol pathway in Escherichia coli
Modeling the environmental behavior and performance of livestock farmers in China: An ABM approach
Modelling the spreading rate of controlled communicable epidemics through an entropy-based thermodynamic model
Modeling and optimization for the joint replenishment and delivery problem with heterogeneous items
Models for the Evolution of GC Content in Asexual Fungi Candida albicans and C-dubliniensis
Model-Based Correction of Velocity Measurements in Navigated 3-D Ultrasound Imaging During Neurosurgical Interventions
Mode splitting transmission effect of surface wave excitation through a metal hole array
Modeling of flame characteristics under O-2/CO2 atmosphere by detailed chemical kinetics
Model for High-Throughput Screening of Multitarget Drugs in Chemical Neurosciences: Synthesis, Assay, and Theoretic Study of Rasagiline Carbamates
Mode dependent loop filter for intra prediction coding in H.264/AVC
Modelling adult Aedes aegypti and Aedes albopictus survival at different temperatures in laboratory and field settings
Moderate deviation principle for Brownian motions on the unit sphere in R-d
Model-Based Traffic Congestion Control in Urban Road Networks Analysis of Performance Criteria
Moderate altitude is not associated with adverse postoperative outcomes for patients undergoing bidirectional cavopulmonary anastomosis and Fontan operation: A comparative study among Denver, Edmonton, and Toronto
Mode transition in CF4 + Ar inductively coupled plasma
Modeling of heart sound morphology and analysis of the morphological variations induced by respiration
Modeling and study of the mechanism of mobilization of arsenic contamination in the groundwater of Nepal in South Asia
Modeling and Analyzing Operational Decision-Making Synchronization of C2 Organization in Complex Environment
Modeling by Drawing with Shadow Guidance
Modeling optimal oil production paths under risk service contracts
Modelling ozone levels in an arid region - a dynamically evolving soft computing approach
Modeling and Application of a New Nonlinear Fractional Financial Model
Modeling fluid catalytic cracking risers with special pseudo-components
Modeling of the post-seismic slip of the 2003 Tokachi-oki earthquake M 8 off Hokkaido: Constraints from volumetric strain
Modeling Ne-21 NMR parameters for carbon nanosystems
Modeling of Safe Driving Distance on the Basis of Vehicle-to-Vehicle Communication
Modeling and Parameter Analysis of the OC3-Hywind Floating Wind Turbine with a Tuned Mass Damper in Nacelle
Modelling tourism employment in China
Model Organisms and Traditional Chinese Medicine Syndrome Models
Models for Effective Deployment and Redistribution of Bicycles Within Public Bicycle-Sharing Systems
Modeling of Shear Capacity of RC Beams Strengthened with FRP Sheets Based on FE Simulation
Modeling of coupling loss in ultra-compact SOI microring resonators
Modeling and Analysis of Online Delay of Nonperiodic CAN Message
Modeling the influence of water content on the mechanical behavior of Callovo-Oxfordian argillite
Models of gene gain and gene loss for probabilistic reconstruction of gene content in the last universal common ancestor of life
Model Peptides Uncover the Role of the beta-Secretase Transmembrane Sequence in Metal Ion Mediated Oligomerization
Modeling Travel Impedance to Medical Care for Children with Birth Defects Using Geographic Information Systems
Modeling of Ga1-xInxAs1-y-zNySbz/GaAs quantum well properties for near-infrared lasers
Modeling target bulk heating resulting from ultra-intense short pulse laser irradiation of solid density targets
Modeling Approach for the Prediction of Transient and Permanent Degradations of Image Sensors in Complex Radiation Environments
Modeling and Investigations on TID-ASETs Synergistic Effect in LM124 Operational Amplifier From Three Different Manufacturers
Modeling viscosity and diffusion of plasma for pure elements and multicomponent mixtures from weakly to strongly coupled regimes
Models of atoms in plasmas based on common formalism for bound and free electrons
Modeling of low frequency noise in FD SOI MOSFETs
Modelling of hysteretic behavior of piezoceramic materials under electrical loading
Modeling Dravet syndrome using induced pluripotent stem cells (iPSCs) and directly converted neurons
Modelling the Emergence and Evolution of e-Business Ecosystems from a Network Perspective
Mode of action of ethyl tertiary-butyl ether hepatotumorigenicity in the rat: Evidence for a role of oxidative stress via activation of CAR, PXR and PPAR signaling pathways
Modelling the interaction of thermonuclear supernova remnants with circumstellar structures: the case of Tycho%26apos;s supernova remnant
Model of Total Nitrogen and Total Sugar in Tobacco Optimizing after Uninformative Variable Elimination
Modeling the co-movements between crude oil and refined petroleum markets
Modeling and measurement of locking stability for a fiber Fabry-Perot tunable filter based on the dithering technique
Modeling of Texture Evolution during Cold Rolling of Zr-Sn-Nb Alloys
Modeling and Simulation of Hydraulic Roll Bending System Based on CMAC Neural Network and PID Coupling Control Strategy
Modeling and Simulation in Transportation Engineering
Model Predictive Control of Piecewise Affine System with Constrained Input and Time Delay
Modeling of white layer thickness in high speed machining of hardened steel based on phase transformation mechanism
Modeling of contact theories for the manipulation of biological micro/nanoparticles in the form of circular crowned rollers based on the atomic force microscope
Modeling the Chemoelectromechanical Behavior of Skeletal Muscle Using the Parallel Open-Source Software Library OpenCMISS
Modeling the Interaction of Dodecylphosphocholine Micelles with the Anticoccidial Peptide PW2 Guided by NMR Data
Modelling and scheduling analysis of multi-cluster tools with residency constraints based on time constraint sets
Modelling and mapping trends in grain production growth in China
Modelling Survival Events with Longitudinal Covariates Measured with Error
Modeling Macro-Sized, High Aspect Ratio Through-Hole Filling by Multi-Component Additive-Assisted Copper Electrodeposition
Modeling detailed design knowledge with the extended structure-behavior-function model
Modeling the cathode catalyst layer of a Direct Methanol Fuel Cell
Modelling and analysis of repairable systems with preventive maintenance
Model for describing plasmon-enhanced lasers that combines rate equations with finite-difference time-domain
Modeling, design and analysis of a stand-alone hybrid power generation system using solar/urine
Modeling of Free Radical Styrene/Divinylbenzene Copolymerization with the Numerical Fractionation Technique
Modeling and analysis of vehicle behavior at bottlenecks
Modeling the synergy between HSV-2 and HIV and potential impact of HSV-2 therapy
Modeling and Analysis of Skype Video Calls: Rate Control and Video Quality
Modeling and Validation of Rotational Vibration Responses for Accessory Drive System-Part II: Simulations and Analyses
Modeling and Validation of Rotational Vibration Responses for Accessory Drive Systems-Part I: Experiments and Belt Modeling
Modeling angular-dependent spectral emissivity of snow and ice in the thermal infrared atmospheric window
Modeling Wet Snow Accretion on Power Lines: Improvements to Previous Methods Using 50 Years of Observations
Modeling tunneling-induced ground surface settlement development using a wavelet smooth relevance vector machine
Model and process simulation of microwave assisted convective drying of clipfish
Modelling and simulation of CO2 (carbon dioxide) bottoming cycles for offshore oil and gas installations at design and off-design conditions
Modeling of Moisture Diffusivity of Concrete at Low Temperatures
Modeling for mechanical response of CICC by hierarchical approach and ABAQUS simulation
Modelling MAC-layer saturation throughput in WLAN mesh networks
Modeling and predicting for surface topography considering tool wear in milling process
Modeling dynamics of an influenza pandemic with heterogeneous coping behaviors: case study of a 2009 H1N1 outbreak in Arizona
Modeling and experimental study of the effect of pressure on pyrolysis of wet wood
Modeling unbalanced rotor system with continuous viscoelastic shaft by frequency-dependent shape function
Modeling economic performance of interprovincial CO2 emission reduction quota trading in China
Models for Characterizing Noise Based PCMOS Circuits
Modeling and high-precision processing of the azimuth shift variation for spaceborne HRWS SAR
Modeling and controller design of inner-formation flying system with two proof-masses
Modeling and calibration of pointing errors using a semi-parametric regression method with applications in inertially stabilized platforms
Modeling of the perfect electromagnetic conducting boundary in the finite difference time domain method
Modeling user-generated contents: an intelligent state machine for user-centric search support
Model of dynamic self-assembly in ferromagnetic suspensions at liquid interfaces
Modeling and analysis of flow distribution in an A-type microchannel reactor
Mode I Fracture Toughness Testing of Composite Pipes
Modeling Alzheimer%26apos;s Disease with iPSCs Reveals Stress Phenotypes Associated with Intracellular A beta and Differential Drug Responsiveness
Modelling network flow with and without link interactions: the cases of point queue, spatial queue and cell transmission model
Modeling, docking and dynamics simulations of a non-specific lipid transfer protein from Peganum harmala L.
Modeling and optimization of hybrid wind-solar-powered reverse osmosis water desalination system in Saudi Arabia
Model Predicting Impact of Complexation With Cyclodextrins on Oral Absorption
Modeling Hydrokinetic Turbine Performance in the Mississippi River
Modeling Water Adsorption on Rutile (110) Using van der Waals Density Functional and DFT plus U Methods
Modeling Analysis of Power Transformer Fault Diagnosis Based on Improved Relevance Vector Machine
Modeling membrane systems using colored stochastic Petri nets
Modelling of multiple crack-branching from Mode-I crack-tip in isotropic solids
Modeling tendon-sheath mechanism with flexible configurations for robot control
Modeling Grazing Effects on Soil-Water Budget Under Leymus chinensis and Stipa grandis Vegetation in Inner Mongolia, China
Modelling temperature dependence on AlGaN/GaN power HEMT device characteristics
Modeling the strategic mutation of international joint ventures Insights from 494 international joint ventures
Modern urban planning theories
Modern Synthetic Approaches to Phthalonitriles with Special Emphasis on Transition-Metal Catalyzed Cyanation Reactions
Modeling a space-variant cortical representation for apparent motion
Modeling and Analysis of Bifurcation in a Delayed Worm Propagation Model
Modeling Fuzzy Data with Fuzzy Data Types in Fuzzy Database and XML Models
Modeling of Hot Deformation Behavior of 55SiMnMo Medium-Carbon Steel
Modeling and Evaluating of Surface Roughness Prediction in Micro-grinding on Soda-lime Glass Considering Tool Characterization
Modeling of nano piezoelectric actuator based on block matching algorithm with optimal block size
Modeling and Monitoring Between-Mode Transition of Multimodes Processes
Modeling Study of the Valid Apparent Interface Thickness in Particulate Materials with Ellipsoidal Particles
Modeling the Effects of Cu Variations on the Precipitated Phases and Properties of Al-Zn-Mg-Cu Alloys
Modelling of water removal during a paper vacuum dewatering process using a Level-Set method
Modeling of a Chemical Looping Combustion Process in Interconnected Fluidized Beds with a Cu-Based Oxygen Carrier
Mode Dependent Coding Tools for Video Coding
Modeling the Effect of Thermophysical Properties on Pyrolysis of Intumescent Fire-retardant Materials
Modeling of cutting force under the tool flank wear effect in end milling Ti6Al4V with solid carbide tool
Modeling of Cognitive Impairment by Disease Duration in Multiple Sclerosis: A Cross-Sectional Study
Modeling and evaluating the performance of Brillouin distributed optical fiber sensors
Modelling the effect of local climatic variability on dengue transmission in Medellin (Colombia) by means temporary series analysis
Modeling and assessing the influence of linear energy transfer on multiple bit upset susceptibility
Modelling work hardening of aluminium alloys containing dispersoids
Model of four generation fermions and cold dark matter and matter-antimatter asymmetry
Modeling and Optimization of the Multiobjective Stochastic Joint Replenishment and Delivery Problem under Supply Chain Environment
Modeling Boundary and Edge Effect of Chloride Diffusion for Durability Design of Concrete Structures Exposed in Marine Environment
Modeling of the atom transfer radical polymerization for preparing novel fluorosilicone diblock copolymers in a semi-batch reactor
Models of crustal thickness for South America from seismic refraction, receiver functions and surface wave tomography
Modeling the Polycentric Transition of Cities
Modern statistical models for forensic fingerprint examinations: A critical review
Model Selection for Vector Autoregressive Processes via Adaptive Lasso
Model for charged dust expansion across a magnetic field
Modelling of benzene distribution in the subsurface of an abandoned gas plant site after a long term of groundwater table fluctuation
Modeling study of three consecutive high ozone episodes over Taiwan in spring 2007
Modeling of vaporization enthalpies of petroleum fractions and pure hydrocarbons using genetic programming
Modeling Alignment Experiment Errors for Improved Computer-Aided Alignment
Modeling of scattering properties of mineral aerosols using modified beta function
Modeling the Effect of Water, Sanitation, and Hygiene on Oral Cholera Vaccine Implementation in Haiti
Model Predictive Control of Linear Systems over Networks with State and Input Quantizations
Modeling of Crosstalk Between Two Nonparallel Striplines on Adjacent Layers
Modeling the Formation of Advanced Argillic Lithocaps: Volcanic Vapor Condensation Above Porphyry Intrusions
Models of the Earth's crust from controlled-source seismology - Where we stand and where we go?
Modeling of yeast inactivation of PEF-treated Chinese rice wine: Effects of electric field intensity, treatment time and initial temperature
Modeling and design of an optimized patterned electrode liquid crystal microlens array with dielectric slab
Model validation of GAMMA code with heat transfer experiment for KO TBM in ITER
Modern pollen and land-use relationships in the Taihang mountains, Hebei province, northern China-a first step towards quantitative reconstruction of human-induced land cover changes
Model of Flow in the Side Chambers of an Industrial Centrifugal Pump for Delivering Viscous Oil
Modeling of a semi-hermetic CO2 reciprocating compressor including lubrication submodels for piston rings and bearings
Mode Regulation of Terahertz Waves Based on Periodically Corrugated Metallic Tubes
Modeling Flow Stress of 70Cr3Mo Steel Used for Back-Up Roll During Hot Deformation Considering Strain Compensation
Modeling and reducing edge effects in laser bending
Modeling and Analysis of the Wastewater Treatment Plant Operating Costs Based on Oxidation Ditch Process
Mode number calculations of ULF field-line resonances using ground magnetometers and THEMIS measurements
Modeling and Analysis of Micro Hybrid Gas Spiral-Grooved Thrust Bearing for Microengine
Modeling of InGaN p-n junction solar cells
Model-Based Pancreas Segmentation in Portal Venous Phase Contrast-Enhanced CT Images
Modeling and Flocking Consensus Analysis for Large-Scale UAV Swarms
Modeling the infrared extinction toward the galactic center
Modeling the Electrostatic Deflection of a MEMS Multilayers Based Actuator
Modeling the Structural-Thermal-Electrical Coupling in an Electrostatically Actuated MEMS Switch and Its Impact on the Switch Stability
Mode multi/demultiplexer based on cascaded asymmetric Y-junctions
Modeling of capacitively coupled contactless conductivity detection on microfluidic chips
Modeling of Macroeconomics by a Novel Discrete Nonlinear Fractional Dynamical System
Modeling, Simulation, and Experiment of Switched Reluctance Ocean Current Generator System
Model for Software Behaviour Detection Based on Process Algebra and System Call
Modeling probabilistic traffic breakdown on congested freeway flow
Modelling Heat-Moisture Transport through Firefighters' Protective Fabrics from an Impinging Flame Jet by Simulating the Drying Process
Modeling and Simulation of Chaos-Based Security-Enhanced WDM-PON
Modeling Resilience Enhancement Strategies for International Express Logistics
Modeling the Thermoultrasound Inactivation of Vibrio parahaemolyticus in Raw Peeled Shrimps
Modeling and simulation of dynamic performance of horizontal steam-launch system
Modeling natural gas market volatility using GARCH with different distributions
Modeling of Piezoelectric Bimorph Nano-Actuators With Surface Effects
Mode-matching analytic method of a coaxial Bragg structure corrugated with rectangular ripples and its experimental verification
Modelling faecal contamination in the Scheldt drainage network
Modelling of thrombus growth in flow with a DPD-PDE method
Modeling of a combined ion transport and porous membrane reactor for oxy-combustion
Modeling of a Small Transportation Company's Start-Up with Limited Data during Economic Recession
Modeling and evaluating IPTV applications in WiMAX networks
Modeling and Optimizing Energy Utilization of Steel Production Process: A Hybrid Petri Net Approach
Modeling the die-off of E. coli and Ascaris in wastewater-irrigated vegetables: implications for microbial health risk reduction associated with irrigation cessation
Modeling Logic Gene Networks by Means of Probabilistic Dynamic P Systems
Modeling studies of the far-field effects of tidal flat reclamation on tidal dynamics in the East China Seas
Model of gene expression in extreme cold - reference transcriptome for the high-Antarctic cryopelagic notothenioid fish Pagothenia borchgrevinki
Modeling of Passing Events in Mixed Bicycle Traffic with Cellular Automata
Modeling Analysis of DC Magnetic Bias of Iron Core Reactor of APF
Modeling for planning municipal electric power systems associated with air pollution control - A case study of Beijing
Model updating based on an affine scaling interior optimization algorithm
Modelling marketing professionals' information behaviour in the workplace: towards a holistic understanding
Modelling ignition temperature and burning time of a single aluminium nanoparticle
Modelling the electronic structure and magnetic properties of LiFeAs and FeSe using hybrid-exchange density functional theory
Model and control for four-powered-caster vehicle: a probability-based approach
Model morphing and sequence assignment after molecular replacement
Modelling of glioblastoma growth by linking a molecular interaction network with an agent-based model
Modeling of Impact in Multibody Systems: An Overview
Modeling the CO2 Sequestration Convection Problem Using the Lattice Boltzmann Method
Modeling Study of the Effect of Anthropogenic Aerosols on Late Spring Drought in South China
Model analysis of long-term trends of aerosol concentrations and direct radiative forcings over East Asia
Modelling the influence of trapping on hydrogen permeation in metals
Modeling of fiber pull-out in continuous fiber reinforced ceramic composites using finite element method and artificial neural networks
Modeling of Interactive Buckling in Sandwich Struts with Functionally Graded Cores
Modeling of various contact theories for the manipulation of different biological micro/nanoparticles based on AFM
Modeling of microstructural evolution and lifetime prediction of MCrAlY coatings on nickel based superalloys during high temperature oxidation
Model scale ice - Part B: Numerical model
Model-scale ice - Part A: Experiments
Modeling and dynamic analysis of rotating composite shaft
Modeling of an oxygen-staged membrane wall gasifier: effects of secondary oxygen
Modeling and Simulation of Arresting Gear System with Multibody Dynamic Approach
Modelling habitat suitability for fish in the fluvial and lacustrine regions of a new Eco-City
Modeling the biological response of normal human cells, including repair processes, to fractionated carbon beam irradiation
Modern cognitive and ubiquitous computing
Modeling and experimenting combined smart sleep and power scaling algorithms in energy-aware data center networks
Moderating Effects and Maintenance of Lung Cancer Cellular Immune Functions by CIK Cell Therapy
Modeling and Visualization Based on Morphological Features of Leaf Vein
Modelling of power deposition on the JET ITER like wall using the code PFCFLux
Modeling and simulation of cold rolling process for double groove ball-section ring
Model-independent decomposition of two-state data
Modeling Protein-Peptide Recognition Based on Classical Quantitative Structure-Affinity Relationship Approach: Implication for Proteome-Wide Inference of Peptide-Mediated Interactions
Modelling relationships between lichen bioindicators, air quality and climate on a national scale: Results from the UK OPAL air survey
Model Predictive Control Based on Kalman Filter for Constrained Hammerstein-Wiener Systems
Modeling of divertor particle and heat loads during application of resonant magnetic perturbation fields for ELM control in ITER
Modelling past sea ice changes
Modeling of Dark Current in HgCdTe Infrared Detectors
Modeling of the electromagnetic field and level populations in a waveguide amplifier: a multi-scale time problem
Model-based measurement of food portion size for image-based dietary assessment using 3D/2D registration
Model-Based Data-Intensive Service Abstraction Refinement
Modelling and control for a bipedal robot on slopes
Modeling and Experimental Study on Air-Gap Membrane Distillation
Modelling Eu(III) speciation in a Eu(III)/PAHA/alpha-Al2O3 ternary system
Modelling of the adsorption of phenolic acids onto alpha,gamma-alumina particles
Moderately Reverberant Learning Ultrasonic Pinch Panel
Modeling evapotranspiration in maize/soybean strip intercropping system with the evaporation and radiation interception by neighboring species model
Modeling effects of sagittal-plane hip joint stiffness on reciprocating gait orthosis-assisted gait
Modeling and Analysis of a Semiactive Power-Assisted Unit Based on Hydraulic Accumulator
Modelling chlorophyll-a in Bohai Bay based on hybrid soft computing approach
Mode of action of acetylxylan esterases on acetyl glucuronoxylan and acetylated oligosaccharides generated by a GH10 endoxylanase
Modeling the size-and shape-dependent cohesive energy of nanomaterials and its applications in heterogeneous systems
Modernism in Europe and in China,taking Lukcs Brecht and Lu Xun as examples
摩登·多元·自由:《北洋画报》女性研究
Modeling and magnetoelectric properties of laminate composite of nickel plate and piezoelectric multilayer vibrator
Model determination and estimation for the growth curve model via group SCAD penalty
Modelling of ultrasonic propagation in turbulent liquid sodium with temperature gradient
Modeling a controversy in the press: The case of abnormal bee deaths
Mode add/drop multiplexers of LP02 and LP03 modes with two parallel combinative long-period fiber gratings
Modeling nonuniversal citation distributions: the role of scientific journals
Modeling correlated human dynamics with temporal preference
Modeling Relief Demands in an Emergency Supply Chain System under Large-Scale Disasters Based on a Queuing Network
Modeling and Stability Analysis of Wedge Clutch System
Models of Relative Nearness Incidence Based on Standard Distance Entropy
Modeling the background and detecting moving objects based on Sift flow
Modelling and experimental analysis of surface roughness in spherical grinding
Moderating role of FKBP5 genotype in the impact of childhood adversity on cortisol stress response during adulthood
Modelling on dynamics properties of a stationary argon cascaded arc plasma flows
Modeling and Cost Analysis of an Improved Movement-Based Location Update Scheme in Wireless Communication Networks
Modeling of UV-Induced Photodegradation of Naphthalene in Marine Oily Wastewater by Artificial Neural Networks
Modelling of steady motion of solid specimens conveyed by travelling wave ultrasonic feeding
Modeling Vibrio parahaemolyticus inactivation by acidic electrolyzed water on cooked shrimp using response surface methodology
Modeling of Electromagnetic Field and Liquid Metal Pool Shape in an Electroslag Remelting Process with Two Series-Connected Electrodes
Modeling and numerical analysis of resistance network for non-insulated superconducting magnet
Modeling and Bifurcation Research of a Worm Propagation Dynamical System with Time Delay
Modelling of power limit in RF antenna waveguides operated in the lower hybrid range of frequency
Models of solar surface dynamics: impact on eigenfrequencies and radius
Model Predictive Control of Coke Oven Gas Collector Pressure
Modeling of a Thermo-Electromagneto-Hydrodynamic Problem in Continuous Casting Tundish with Channel Type Induction Heating
Modeling of axial vibrational control technique for CdTe VGF crystal growth under controlled cadmium partial pressure
Modeling method and preliminary model of Asteroid Toutatis from Chang'E-2 optical images
Modelling and study of active vibration control for off-road vehicle
Modeling high-speed angular contact ball bearing under the combined radial, axial and moment loads
Modeling direct and indirect effect of long range transport on atmospheric PM2.5 levels
Modeling the multimedia fate dynamics of gamma-hexachlorocyclohexane in a large Chinese lake
Modeling Water and Ammonia Adsorption in Hydrophobic Metal-Organic Frameworks: Single Components and Mixtures
Model Hamiltonian Analysis of Singlet Fission from First Principles
Modeling the Impact of Interspecies Competition on Performance of a Microbial Fuel Cell
Modeling decarburization kinetics of grain-oriented silicon steel
Model Test on Lateral Loading Performance of Secant Pile Walls
Modeling of water transport through nanopores of membranes in direct-contact membrane distillation process
Modeling of Directional Solidification of Columnar Grain Structure in CMSX-4 Nickel-Based Superalloy Castings
Model structure selection in single-index-coefficient regression models
Modeling of stochastic behavior of plug-in hybrid electric vehicle in a reactive power market
Modeling indoor lighting inspection robot behavior using Concurrent Communicating Lists
Modeling discrete-variable stochastic dynamics: Ecological populations, gene networks, and a nanotube ion channel
Modeling of Silver Nanoparticle Formation in a Microreactor: Reaction Kinetics Coupled with Population Balance Model and Fluid Dynamics
Modeling, Control, Optimization, and Analysis of Electrified Vehicle Systems
Modeling and Characterization of the Implant Intra-Body Communication Based on Capacitive Coupling Using a Transfer Function Method
Modeling the Perceptions and Preferences of Pedestrians on Crossing Facilities
Modeling on the Solidification of 1J51 Fe-Ni-Based Alloy Ingot Under Vacuum Conditions
Modeling study of soot formation and oxidation in DI diesel engine using an improved soot model
Modeling induced pluripotent stem cells from fibroblasts of Duchenne muscular dystrophy patients
Modelling of P3HT:PCBM interface using coarse-grained forcefield derived from accurate atomistic forcefield
Modeling and analysis of laminated rubber bearings under axial tensile loading
Mode-locked fiber lasers based on doped fiber arrays
Moderate deviation for parameter estimator in the stochastic parabolic equations with additive fractional Brownian motion
Modelling of the Evolving Stable Boundary Layer
Model for Rapid-Charging Events for the International Space Station
Modeling of H2S migration through landfill cover materials
Modeling and Validating E-Commerce Business Process Based on Petri Nets
Mode-Selectable High-Efficiency Low-Quiescent-Current Synchronous Buck DC-DC Converter
Modeling mass and density distribution effects on the performance of co-extruded electrodes for high energy density lithium-ion batteries
Model Reference Adaptive Control-Based Speed Control of Brushless DC Motors With Low-Resolution Hall-Effect Sensors
Modelling of radiative transfer of natural surfaces in the solar radiation spectrum: development of a satellite data simulator (SDDS)
Modeling CO2-water mixture thermodynamics using various equations of state (EoSs) with emphasis on the potential of the SPUNG EoS
Modeling and Recognizing Driver Behavior Based on Driving Data: A Survey
Modelling tree height-diameter relationships in multi-species and multi-layered forests: A large observational study from Northeast China
Modeling the Tensile Behavior of Unidirectional C/SiC Ceramic-Matrix Composites
Modes and Carrier Density in Dispersive and Nonlinear Gain Planar Photonic Crystal Cavity
Modeling and system analysis of fuel cycles for nuclear power sustainability (I): Uranium consumption and waste generation
Modeling the Equilibrium Bus Line Choice Behavior and Transit System Design with Oblivious Users
Modeling and Analysis of Coupling Performance of Dynamic Stiffness Models for a Novel Combined Radial-Axial Hybrid Magnetic Bearing
Modeling and simulation of overtaking behavior involving environment
Modelling and fault tolerant control for near space vehicles with vertical tail loss
Modeling and analysis of rigid multibody systems with driving constraints and frictional translation joints
Modeling Multiresponse Surfaces for Airfoil Design with Multiple-Output-Gaussian-Process Regression
Modeling, Analysis, and Design of Multifunction Grid-Interfaced Inverters With Output LCL Filter
Modeling the stiffness, strength, and progressive failure behavior of woven fabric-reinforced composites
Modeling and LQG/LTR control for power and axial power difference of load-follow PWR core
Model for Dynamic Multiple of CPPI Strategy
Modeling of severe persistent droughts over eastern China during the last millennium
Model for the conversion of nuclear waste melter feed to glass
Models of thermodiffusion in 1D
Modeling the impacts of drying trend scenarios on land systems in northern China using an integrated SD and CA model
Modeling and evaluating of typical advanced peer-to-peer botnet
Modeling and Dynamical Analysis of the Water Resources Supply-Demand System: A Case Study in Haihe River Basin
Modeling and predicting the effect of temperature on the growth of Proteus mirabilis in chicken
Model-based optimisation of nitrogen and water management for wheat-maize systems in the North China Plain
Modeling light availability for a subordinate crop within a strip-intercropping system
Modest alcohol consumption decreases the risk of nonalcoholic fatty liver disease: a meta-analysis of 43 175 individuals
Modeling of binocular stereo vision for remote coordinate measurement and fast calibration
Modeling of the Double Leakage and Leakage Spillage Flows in Axial Flow Compressors
Modeling and optimization of operating parameters for abrasive waterjet turning alumina ceramics using response surface methodology combined with Box-Behnken design
Modeling and Global Conflict Analysis of Firewall Policy
Modeling of metal-oxide semiconductor: Analytical bond-order potential for cupric oxide
Moderate-dose glucocorticoids as salvage therapy for severe pneumonia in renal transplant recipients: a single-center feasibility study
Modeling of Failure Prediction Bayesian Network with Divide-and-Conquer Principle
Modelling the Impact of HIV on the Populations of South Africa and Botswana
Modeling for periodic striation and microstructure evolution in active gas melt laser cutting for phase hardened parts
Modelling the Drugs Therapy for HIV Infection with Discrete-Time Delay
Modeling of supercritical fluid extraction of flavonoids from Calycopteris floribunda leaves
Modeling urban land-use dynamics in a fast developing city using the modified logistic cellular automaton with a patch-based simulation strategy
Model of multidisciplinary simulation integration in helicopter rotor blade design process
Modelling policy decision of sustainable energy strategies for Nanjing city: A fuzzy integral approach
Modeling study for oscillatory reaction of chlorite - iodide - ethyl acetoacetate
Modeling, control and electromagnetic transient simulation of the doubly fed induction generator-based wind energy generation system
Modeling and Simulation of Complex Network Attributes on Coordinating Large Multiagent System
Model Reduction of Fuzzy Logic Systems
Model for multiple attribute decision making based on the Einstein correlated information fusion with hesitant fuzzy information
Model for software quality evaluation with hesitant fuzzy uncertain linguistic information
Models for selecting an ERP system with hesitant fuzzy linguistic information
Models for hesitant interval-valued fuzzy multiple attribute decision making based on the correlation coefficient with incomplete weight information
Model-based clustering for RNA-seq data
Modelling new particle formation events in the South African savannah
Model-Free Predictive Current Control for Interior Permanent-Magnet Synchronous Motor Drives Based on Current Difference Detection Technique
Modeling and parameters extraction of LDMOSFET device
Model Inversion of BBPV Based on DWD of MISR RS Image
Modeling and system analysis of fuel cycles for nuclear power sustainability (III): An integrated evaluation
Modern Electroconvulsive Therapy: Treatment Techniques
Modeling Chemical Composition for an Atmospheric Pressure DC Discharge in Air with Water Cathode by 0-D model
Modeling the low-temperature synthesis of dimethyl ether from methanol
Modelling and optimal control of a time-delayed switched system in fed-batch process
Modeling urban land use conversion of Daqing City, China: a comparative analysis of "top-down" and "bottom-up" approaches
Modeling project time-cost trade-off in fuzzy random environment
Modeling of Millimeter-Wave Propagation in Rain Based on Parabolic Equation Method
Modeling Deamidation in Sheep alpha-Keratin Peptides and Application to Archeological Wool Textiles
Modeling and Simulation of Food Products in Superchilling Technology
Modelling bird richness and bird species presence in a boreal forest reserve using airborne laser-scanning and aerial images
Modeling and Analysis on Congestion Control for Data Transmission in Sensor Clouds
Models for multiple attribute decision making based on the Einstein correlated aggregation operators with interval-valued intuitionistic fuzzy information
Modeling greenup date of dominant grass species in the Inner Mongolian Grassland using air temperature and precipitation data
Modeling the interface area aspect ratio of carbide grains in WC-Co composites
Modeling of drop breakup in the bag breakup regime
Modeling of Car-Following Required Safe Distance Based on Molecular Dynamics
Modeling detector response function of alpha particles for neutron depth profiling
Modeling the Ion Transport Restriction in Mechanically Strained Separator Membranes
Mode characteristics of nano-width rectangle resonator
Modelling the running states of high-speed trains using triangular fuzzy number workflow nets
Modeling the role of altruism of antibiotic-resistant bacteria
Modelling and control of an autonomous articulated mining vehicle navigating a predefined path
Modelling basin-scale distribution of fish occurrence probability for assessment of flow and habitat conditions in rivers
Mode coupling between nonpolar and polar phonons as the origin of improper ferroelectricity in hexagonal LuMnO3
Modeling and Simulation of Synchronous Threshold in Vent Collective Behavior
Modern analyses on an historical data set: skull morphology of Italian red squirrel populations
Modeling Seasonal Heteroscedasticity in Vehicular Traffic Condition Series Using a Seasonal Adjustment Approach
Modeling and simulation of flexible slider-crank mechanism with clearance for a closed high speed press system
Modeling the Frequency of Opposing Left-Turn Conflicts at Signalized Intersections Using Generalized Linear Regression Models
Modeling of critical grain size for shifting plasticity enhancement to decrease by refining grain size
Model-Based Stochastic Optimal Air-Fuel Ratio Control With Residual Gas Fraction of Spark Ignition Engines
Modelling and control issues of dynamically substructured systems: adaptive forward prediction taken as an example
Modeling genetic covariance structure across ages of fleece traits in an Inner Mongolia cashmere goat population using repeatability and multivariate analysis
Moderate concentrations of supplemental oxygen worsen hypercapnia in obesity hypoventilation syndrome: a randomised crossover study
Modelling and exploring historical records to facilitate service composition
Modeling and performance analysis of pull-based live streaming schemes in Peer-to-Peer network
Modeling probabilistic traffic breakdown on congested freeway flow (vol 40, pg 999, 2013)
Modeling of sheet molding compound compression molding under non-isothermal conditions
Modeling and Dynamical Behavior of Rotating Composite Shafts with SMA Wires
Model to predict the survival benefit of radiation for patients with rhabdomyosarcoma after surgery: A population-based study
Modeling of Functional Group Distribution in Copolymerization: A Comparison of Deterministic and Stochastic Approaches
Modelling high-power spin-torque oscillator with perpendicular magnetization in half-metallic Heusler alloy spin valve nanopillar
Modeling Network Interference in the Angular Domain: Interference Azimuth Spectrum
Modes of Mesoscale Convective System Organization During Meiyu Season over the Yangtze River Basin
Mode I Fracture Toughness of Tangential Moso Bamboo
Modeling traveler mode choice behavior of a new high-speed rail corridor in China
Model test study on effective ratio of segment transverse bending rigidity of shield tunnel
Modeling of progesterone-induced intracellular calcium signaling in human spermatozoa
Modeling of Species Transport and Macrosegregation in Heavy Steel Ingots
Modeling the electrical percolation of mixed carbon fillers in polymer blends
Modeling and Design of Microstrip Patch Antenna-in-Package for Integrating the RFIC in the Inner Cavity
Modelling tail dependence between energy market and stock markets in the BRIC countries
Modeling, planning and optimal energy management of combined cooling, heating and power microgrid: A review
Model-Based Investigations of Different Vector-Related Intervention Strategies to Eliminate Visceral Leishmaniasis on the Indian Subcontinent
Modeling the seismic cycle in subduction zones: The role and spatiotemporal occurrence of off-megathrust earthquakes
Modelling and simulation of contact force in cold rotary forging
Modeling and Optimization for Short-term Scheduling of Multipurpose Batch Plants
Modeling Signalized-Intersection Safety with Corner Clearance
Modeling alcoholic fermentation of glucose/xylose mixtures by ethanologenic Escherichia coli as a function of pH
Model of Membrane Fusion: Continuous Transition to Fusion Pore with Regard of Hydrophobic and Hydration Interactions
Modeling the interaction between contact mechanisms in normal and tangential directions
Moderate Deviation for Parameter Estimation in the Rayleigh Diffusion Process
Modelling NO2 concentrations at the street level in the GAINS integrated assessment model: projections under current legislation
Modelling and control of a five-degrees-of-freedom pneumatically actuated magnetic resonance-compatible robot
Models of Chinese Engagement in Africa's Extractive Sectors and Their Implications
Modelling the mechanics of partially mineralized collagen fibrils, fibres and tissue
Modeling, identification and compensation for geometric errors of laser annealing table
Model for End-Stage Liver Disease score versus Maddrey Discriminant Function score in assessing short-term outcome in alcoholic hepatitis
Modern and glacial tropical snowlines controlled by sea surface temperature and atmospheric mixing
Modeling propagation of infrasound signals observed by a dense seismic network
Modelling ocean acidification in the Nordic and Barents Seas in present and future climate
Model Update January 2013: Upper Mantle Heterogeneity beneath North America from Travel-Time Tomography with Global and USArray Transportable Array Data
Modelling the impact of creep on the probability of failure of a solid oxide fuel cell stack
Modeling and forecasting monthly movement of annual average solar insolation based on the least-squares Fourier-model
Modeling of suspension fouling in nanofiltration
Mode-locked thulium bismuth codoped fiber laser using graphene saturable absorber in ring cavity: reply
Modeling and Analysis of Relative Hovering Control for Spacecraft
Modeling and Interpretation of Scattering Mechanisms in Polarimetric Synthetic Aperture Radar [Advances and perspectives]
Modeling and Simulation of LDO Voltage Regulator Susceptibility to Conducted EMI
Modeling the temporal evolution of an aero-optical aberration with the minimum description length principle
Modeling of a space flexible probe-cone docking system based on the Kane method
Models for multiple attribute decision making method in hesitant triangular fuzzy setting
Modeling the thermosphere as a driven-dissipative thermodynamic system
Modeling of dynamic separation of hydroxystilbene mixtures in centrifugal chromatography
Modeling of Trichel Pulses in the Negative Corona on a Line-to-Plane Geometry
Modeling and Validation of the Iodine-Sulfur Hydrogen Production Process
Model Virtual Plant Response to Water Deficits
Modeling the Chinese language as an evolving network
Modeling the Thermal Fields of Deposited Materials During the Spray Rolling Process
Modeling and Simulation of Fish-Like Swimming in a Straight-Line Swimming State Using Immersed Boundary Method
Modeling and Application of Pedestrian Safety Conflict Index at Signalized Intersections
Models and a relaxation algorithm for continuous network design problem with a tradable credit scheme and equity constraints
Modeling signal amplitude of ground-based GPS occultation in marine tropospheric ducts
Modelling current-induced magnetization switching in Heusler alloy Co2FeAl-based spin-valve nanopillar
Modeling of Information Diffusion in Twitter-Like Social Networks under Information Overload
Modelling three-phase releases of carbon dioxide from high-pressure pipelines
Modeling of bi-equilibrium states in dielectric elastomer
Modelling and simulation of counter-current and confined jet reactors for hydrothermal synthesis of nano-materials
Modeling for soft sensor systems and parameters updating online
Modeling Deepwater Well Killing
Modeling stationary and moving cracks in shells by X-FEM with CB shell elements
Modeling of Duck Density and Complex Stocking Time in Rice-Duck Agroecosystems in Terms of Economic and Ecological Benefits
Model Updating of Complex Structures Using the Combination of Component Mode Synthesis and Kriging Predictor
Model of hot-carrier induced degradation in ultra-deep sub-micrometer nMOSFET
Model-order reduction for differential-algebraic equation systems with higher index
Models and Algorithms for Tracking Target with Coordinated Turn Motion
Modeling the bidirectional reflectance distribution function of seawater with spilt oil
Model-Free Unraveling of Supported Nanoparticles Plasmon Resonance Modes
Modeling movements of a long hand-held tool with effects of moments of inertia
Modeling Methodology for Cold Tolerance Assessment of Pittosporum tobira
Moderator's View: Should we diagnose CKD using the 'one-size fits all' KDIGO 2012 guideline or do we need a more complex age-specific classification system?
Models on threshold voltage/subthreshold swing and structural design of high-k gate dielectric GeOI MOSFET
Modeling of water vapor sorption process by employing the reaction engineering approach (REA)
Modeling of temperature field and pool formation during linear laser welding of DP1000 steel
Model-Based Signal Subspace Channel Tracking for Correlated Underwater Acoustic Communication Channels
Modelling and simulation of spatial-temporal correlated K distributed clutter for coherent radar seeker
Model for thermodynamic point identification in laser cutting
Model Parameter Adaption-Based Multi-Model Algorithm for Extended Object Tracking Using a Random Matrix
Modeling of a diode transverse-pumped cesium vapor laser
Modelling and operation optimization of an integrated energy based direct district water-heating system
Modeling the Effect of Selection History on Pop-Out Visual Search
Modeling study of the effect of ionospheric scintillation at low latitudes in China
Model-Based Water Wall Fault Detection and Diagnosis of FBC Boiler Using Strong Tracking Filter
Modeling a Heterogeneous Embedded System in Coloured Petri Nets
Modeling of Graded Active Magnetic Regenerator for Room-Temperature, Energy-Efficient Refrigeration
Modeling 3D garments by examples
Modeling and dynamic characteristics analysis on a three-stage fast-response and large-flow directional valve
Modeling and simulation for multiple-step incremental air-bending forming of sheet metal
Modeling and effect of distortion product generated by harmonic complex tones
Modeling and simulation of supercavity with inertial force in the horizontal curvilinear motion
Modeling of rotational supercavitating evaporator and the geometrical characteristics of supercavity within
Modeling crack propagation in wood by extended finite element method
Mode manipulation and near-THz absorptions in binary grating-graphene layer structures
Modeling and controllers design of the China CLEAR-IB
Model order reduction based on general orthogonal polynomials in the time domain for coupled systems
Modeling the dynamical effects of anesthesia on brain circuits
Modeling adsorption in binary associating solvents using the extended MPTA model
Modeling and nonlinear dynamics of fluid-conveying risers under hybrid excitations
Model-based iterative learning control of Parkinsonian state in thalamic relay neuron
Modelling and analysis of deep drawing with utilisation of vibrations and servo press using response surface methodology
Modeling a thermionic energy converter using finite-difference time-domain particle-in-cell simulations
Modeling the spatial occurrence of shallow landslides triggered by typhoons
Modeling Constitutive Relationship of Cu-0.4 Mg Alloy During Hot Deformation
Modeling research on wheat protein content measurement using near-infrared reflectance spectroscopy and optimized radial basis function neural network
Modeling the Natural History and Detection of Lung Cancer Based on Smoking Behavior
Modeling and Model Predictive Power and Rate Control of Wireless Communication Networks
Modeling and Analysis of New Products Diffusion on Heterogeneous Networks
Modeling Polysiloxane Volume and Viscosity Variations With Molecular Structure and Thermodynamic State
Models for dynamic evaluation of mudstone/shale cap rocks and their applications in the Lower Paleozoic sequences, Sichuan Basin, SW China
Modelling Fractal Waves on Shallow Water Surfaces via Local Fractional Korteweg-de Vries Equation
Modeling of Distributed File Systems for Practical Performance Analysis
Modeling studies on absorption of CO2 by monoethanolamine in rotating packed bed
Modeling and analysis of cascading failure in directed complex networks
Model-Based Detection and Monitoring of the Intermittent Connections for CAN Networks
Modelling thermal damage mechanism of dam in extremely frigid area by FEM
Modeling of subthreshold characteristics of short channel junctionless cylindrical surrounding-gate nanowire metal-oxide-silicon field effect transistors
Modeling and optimizing Random Walk content discovery protocol over mobile ad-hoc networks
Mode selection of China's urban heating and its potential for reducing energy consumption and CO2 emission
Modeling of micromachined silicon-polymer 2-2 composite matching layers for 15 MHz ultrasound transducers
Modeling the behavior of natural gas pipeline impacted by falling objects
Model-Driven Redox Pathway Manipulation for Improved Isobutanol Production in Bacillus subtilis Complemented with Experimental Validation and Metabolic Profiling Analysis
Modeling of the thrust and torque acting on shield machines during tunneling
Modeling and simulation analysis of fractional-order Boost converter in pseudo-continuous conduction mode
Mode localization in lateral buckling of partially embedded submarine pipelines
Model prediction-based approach to fault-tolerant control with applications
Modeling Heterotrophic Bacteria in Plumbing System of Drinking Water
Modeling Peer-to-Peer Botnet on Scale-Free Network
Modeling and control for hydraulic transmission of unmanned ground vehicle
Modeling Transmission Line Constraints in Two-Stage Robust Unit Commitment Problem
Modeling target volume flows in forest harvest scheduling subject to maximum area restrictions
Modeling method of cascading crisis events based on merging Bayesian Network
Modelling and pathway identification involving the transport mechanism of a complex metabolic system in batch culture
Modes of Activation of Organometallic Iridium Complexes for Catalytic Water and C-H Oxidation
Modeling and Optimization of a Friction Damper for Boring Chatter Control
Model for Increasing the Power Obtained from a Thermoelectric Generator Module
Modeled Exposure Assessment via Inhalation and Dermal Pathways to Airborne Semivolatile Organic Compounds (SVOCs) in Residences
Modeling of laser wakefield acceleration in Lorentz boosted frame using EM-PIC code with spectral solver
Modeling of spatial distributions of farmland density and its temporal change using geographically weighted regression model
Modeling and Preventive Measures of Hand, Foot and Mouth Disease (HFMD) in China
Model for tumour growth with treatment by continuous and pulsed chemotherapy
Modeling and Optimization of Beam Pumping System Based on Intelligent Computing for Energy Saving
Modelling low-speed drop-weight impact on composite laminates
Modeling Cyclic Behavior of Rockfill Materials in a Framework of Generalized Plasticity
Model updating and parameters estimation incorporating flexible joints and boundary conditions
Modeling of anisotropic tensile and cyclic viscoplastic behavior of a nickel-base directionally solidified superalloy
Modern fiber laser beam welding of the newly-designed precipitation-strengthened nickel-base superalloys
Modeling of Potential Oscillation during Galvanostatic Electrooxidation of Formic Acid at Platinum Electrode
Modeling and Simulation of Thermodynamic Properties of L-Alanyl-L-Glutamine in Different Solvents
Model of Handover and Traffic Based on Cellular Geometry with Smart Antenna
Modeling and Simulation of Water Distribution System: A Case Study
Modelling and optimization of a passive structural control design for a spar-type floating wind turbine
Modeling and Chaotic Dynamics of the Laminated Composite Piezoelectric Rectangular Plate
Modeling Conditional Forecast Error for Wind Power in Generation Scheduling
Modeling of enhanced denitrification capacity with microbial storage product in MBR systems
Modelling of isothermal chlorophyll extraction from herbaceous plants
Modeling and numerical simulation of multi-gripper flexible stretch forming process
Mode demultiplexing based on multimodulus blind equalization algorithm
Modeling the potential impact of vaccination on the epidemiology of congenital cytomegalovirus infection
Modelling Lymphoma Therapy and Outcome
Modeling framework for optimal evacuation of large-scale crowded pedestrian facilities
Modeling ion sensing in molecular electronics
Modeling Light Scattering in Tissue as Continuous Random Media Using a Versatile Refractive Index Correlation Function
Modeling and improvement of direct power control of DFIG under unbalanced grid voltage condition
Model of temperature field for the preparation process of melt-spun NdFeB powders
Modeling and deformation analyzing of InSb focal plane arrays detector under thermal shock
Modeling Saturated Diagnosis and Vaccination in Reducing HIV/AIDS Infection
Modeling and control simulation of the China CLEAR-IB
Modeling of the Stress Behavior of Confined Particle Beds
Modelling of Functionally Graded Adhesive Joints
Model order reduction by projection applied to the universal Reynolds equation
Modelling metal speciation in the Scheldt Estuary: Combining a flexible-resolution transport model with empirical functions
Modeling the Role of TGF-beta in Regulation of the Th17 Phenotype in the LPS-Driven Immune System
Modeling and Control of a Wave Energy Farm Including Energy Storage for Power Quality Enhancement: the Bimep Case Study
Modeling dual-scale epidemic dynamics on complex networks with reaction diffusion processes
Mode theory of three-layer cylindrical waveguides and its application to aurum(Au)/polystyrene(PS)-coated terahertz hollow waveguides
Modelling and analysis of floating spar-type wind turbine drivetrain
Modeling of Diabetes Mellitus-Related Depression
Modeling nitrous oxide emissions from tile-drained winter wheat fields in Central France
Modeling impacts of film mulching on rainfed crop yield in Northern China with DNDC
Modeling and inverse feedforward control for conducting polymer actuators with hysteresis
Modeling and analysis of a novel planar eddy current damper
Models for identifying significant environmental factors associated with cyanobacterial bloom occurrence and for predicting cyanobacterial blooms
Modeling the Dynamics of Shanghai Interbank Offered Rate Based on Single-Factor Short Rate Processes
Modeling of breaststroke swimming
Modeling the evolution of dislocation populations under non-proportional loading
Modeling the Rheology of SR1500 and LY556 Epoxies Under Manufacturer%26apos;s Recommended Cure Cycles after Viscosimetry and Rheometry Characterization
Model-based detection of sensor faults under changing temperature conditions
Mode shapes analysis of a cracked beam and its application for crack detection
Modeling the optical properties of combustion-generated fractal aggregates
Modeling of the Transport Phenomena in Passive Direct Methanol Fuel Cells Using a Two-Phase Anisotropic Model
Modelling Late Weichselian evolution of the Eurasian ice sheets forced by surface meltwater-enhanced basal sliding
Modeling Photonic Crystal Fiber for Efficient Soliton-Effect Compression of Femtosecond Optical Pulses at 850 nm
Modelling the 'outliers' track of the radio-X-ray correlation in X-ray binaries based on a disc-corona model
Modeling of cerebral aneurysm using equivalent electrical circuit (Lumped Model)
Modest increase in risk of acute coronary syndrome associated with morphine use in cancer patients: A population-based nested case-control study
Modeling radionuclide migration in complex nonuniform water bodies using the POMRad model system
Model-Based Human Gait Recognition Via Deterministic Learning
Modeling, analysis and optimization of adsorption parameters of Au(III) using low-cost agricultural residuals buckwheat hulls
Modeling sourcing strategies to mitigate part obsolescence
Modeling and analysis of the pyrolysis of bio-oil aqueous fraction in a fixed-bed reactor
Modelling the connection between ultraviolet and infrared galaxy populations across cosmic times
Modeling the migration of fallout radionuclides to quantify the contemporary transfer of fine particles in Luvisol profiles under different land uses and farming practices
Modeling and control strategy of the China accelerator driven subcritical reactor
Modeling Bistable behaviors in Morphing Structures through Finite Element Simulations
Modeling Low-Frequency Anthropogenic Noise in the Oceans: A Comparison of Propagation Models
Mode Mixity Dependence of Interfacial Fracture Toughness in Organic Electronic Structures
Model Studies Studies with Gold: A Versatile Oxidation and Hydrogenation Catalyst
Modeling of Coupled Temperature-Displacement-Diffusion Problem for Silica-Phenolic Composite under High Temperature
Modeling of Chemical Looping Combustion of Methane Using a Ni-Based Oxygen Carrier
Modeling the construction of polymeric adsorbent media: Effects of counter-ions on ligand immobilization and pore structure
Mode decomposition of nonlinear eigenvalue problems and application in flow stability
Modeling the Kinetics of Enhanced Photo-Polymerization under a Collimated and a Reflecting Focused UV Laser
Modeling Dissolved Oxygen Concentration for Optimizing Aeration Systems and Reducing Oxygen Consumption in Activated Sludge Processes: A Review
Mode-Adaptive Decentralized Control for Renewable DC Microgrid With Enhanced Reliability and Flexibility
Moderate Deviations Results for a Symmetry Testing Statistic Based on the Kernel Density Estimator for Directional Data
Moderate deviations and central limit theorem for small perturbation Wishart processes
Modeling the strain-hardening effect and plastic deformation of nanocrystalline FCC metals dispersed with the finest grains
Modelling of nonlinear crack-wave interactions for damage detection based on ultrasound-A review
Modeling and Computing of Stock Index Forecasting Based on Neural Network and Markov Chain
Mode-dependent projective synchronization for neutral-type neural networks with distributed time-delays
Modeling and Characteristic Study of Thin Film Based Biosensor Based on COMSOL
Modeling of Ferrite-Austenite Phase Transformation Using a Cellular Automaton Model
Modeling cutter tilt and cutter-spindle stiffness for machine condition monitoring in face milling using high-definition surface metrology
Modeling analysis of ant system with multiple tasks and its application to spatially adjacent cell state estimate
Modeling the impact of the 7-valent pneumococcal conjugate vaccine in Chinese infants: an economic analysis of a compulsory vaccination
Modeling and maximum power point tracking (MPPT) method for PV array under partial shade conditions
Modern Phased Arrays and Their Hybrid Intelligent Processing
Modeling and Analysis of an Air-Breathing Flexible Hypersonic Vehicle
Modeling of Two-Phase Flow and Heat Transfer in Low-Temperature Oxygen-Fuel Spray Process
Modeling and visualizing borehole information on virtual globes using KML
Modelling dependence of magnetic memory effect on plastic deformation in ferromagnetic materials
Modeling of Thermal-Induced Rate Error for FOG With Temperature Ranging From-40 degrees C to 60 degrees C
Model test of immersed tube tunnel foundation treated by sand-flow method
Modelling heterogeneity in malaria transmission using large sparse spatio-temporal entomological data
Modeling and control approach to a distinctive quadrotor helicopter
Model-Predictive Control of Grid-Tied Four-Level Diode-Clamped Inverters for High-Power Wind Energy Conversion Systems
Mode control in a high gain relativistic klystron amplifier with 3 GW output power
Modeling of pH neutralization process using fuzzy recurrent neural network and DNA based NSGA-II
Modeling and optimal design for the fixed plate of steel ball grinding machine
Modelling size segregation of granular materials: the roles of segregation, advection and diffusion
Modeling the Nonisothermal Devolatilization Kinetics of Typical South African Coals
Modeling Complexity of EMA Data: Time-Varying Lagged Effects of Negative Affect on Smoking Urges for Subgroups of Nicotine Addiction
Models of chromosome structure
Model of Parameters Controlling Resistance of Pipeline Steels to Hydrogen-Induced Cracking
Modeling, Analysis, and Design for Noise Suppression Using Embedded Planar Capacitors in Multilayered Printed Circuit Boards
Modeling of a millimeter wave planar Schottky diode using both improved equivalent circuit model and 3D-EM model
Modelling of the radio emission from the Vela supernova remnant
Modeling and particular application of ASM2d model for describing organic matter and nutrient removal in a novel anaerobic-anoxic/oxiceight-phased system
Model Selection for Complex Multilevel Latent Class Model
Modeling of simultaneous transfers of heat and mass in a trapezoidal solar distiller
Modelling the Influence of Awareness Programs by Media on the Drinking Dynamics
Modeling of bimetallic Pt-based electrocatalyst on extended-surface support for advanced hydrogen compression and separation
Modeling and navigation of social information networks in metric spaces
Model and Design of Cogeneration System for Different Demands of Desalination Water, Heat and Power Production
Modeling Interface-Dominated Mechanical Behavior of Nanolayered Crystalline Composites
Modeling piezocone cone penetration (CPTU) parameters of clays as a multivariate normal distribution
Modeling Pathologic Response of Esophageal Cancer to Chemoradiation Therapy Using Spatial-Temporal F-18-FDG PET Features, Clinical Parameters, and Demographics
Modeling the fate of fertilizer N in paddy rice systems receiving manure and urea
Moderate Hypothermia Inhibits Brain Inflammation and Attenuates Stroke-Induced Immunodepression in Rats
Modeling Spray Drying of Redispersible Polyacrylate Powder
Moderate hypothermia treatment in adult patients with severe traumatic brain injury: A meta-analysis
Model Based Research of Dynamic Performance of Shaft-Bearing System in High-Speed Field
Mode-hopping-free scanning over 2 THz by means of quasi-synchronous tuning
Modeling and simulation on long pulse laser drilling processing
Modeling and controller design for complex flexible nonlinear systems via a fuzzy singularly perturbed approach
Modeling and analysis of nano-sized GMRs based on Co, NiFe and Ni materials
Modeling and evaluation of the wind power industry chain: A China study
Modelling and predicting the biological effects of nanomaterials
Modelling of soil-seed contact using the Discrete Element Method (DEM)
Modeling Molecular Weight Distribution and Effect of Termination in Controlled Radical Polymerization: A Novel and Transformative Approach
Modeling of a Diode Side Pumped Cesium Vapor Laser MOPA System
Modeling of the hemodynamic responses in block design fMRI studies
Modelling diseases with relapse and nonlinear incidence of infection: a multi-group epidemic model
Modeling and broadening temporal user interest in personalized news recommendation
Modeling forest dynamics along climate gradients in Bolivia
Mode propagation in a PT-symmetric gain-metal-loss plasmonic system
Modeling of energetically equilibrium clusters in a lithium niobate crystal
Model checking with fairness assumptions using PAT
Modeling analysis on sporulation capacity, storage and infectivity of the aphid-specific pathogen Conidiobolus obscurus (Entomophthoromycota: Entomophthorales)
Modelling the relationship between peel colour and the quality of fresh mango fruit using Random Forests
Modelling of dendritic growth during alloy solidification under natural convection
Modeling morphological dynamics and color characteristics of rice panicle
Modelling and simulating the dynamic environmental factors in post-seismic relief operation
Modeling of optical spectroscopy for the crystalline silicon
Modelling stable water isotopes in monsoon precipitation during the previous interglacial
Modeling of damage in unidirectional ceramic matrix composites and multi-scale experimental validation on third generation SiC/SiC minicomposites
Modelling of an Homogeneous Equilibrium Mixture Model (HEM)
Mode-locked Nd-doped fiber laser at 930 nm
Modeling and representation for earthquake emergency response knowledge: perspective for working with geo-ontology
Modelling and assimilation of lidar signals over Greater Paris during the MEGAPOLI summer campaign
Modeling of fully coupled MHD flows in annular linear induction pumps
Modelling of the strength-porosity relationship in glass-ceramic foam scaffolds for bone repair
Modelling and quantification of intergrowth in gamma-MnO2 by laboratory pair distribution function analysis
Moderate Earthquake Teleseismic Depth Estimations: New Methods and Use of the Comprehensive Nuclear-Test-Ban Treaty Organization Network Data
Modeling and design of a fully integrated gas analyzer using a mu GC and NEMS sensors
Modeling Transmission Dynamics of Streptococcus suis with Stage Structure and Sensitivity Analysis
Modeled subalpine plant community response to climate change and atmospheric nitrogen deposition in Rocky Mountain National Park, USA
Model tests on reinforced sloped embankment with denti-strip inclusions under monotonic loading
Modeling individual HRTF tensor using high-order partial least squares
Modeling of soft interfacial volume fraction in composite materials with complex convex particles
Modeling and analysis of an over-constrained flexure-based compliant mechanism
Modeling the dynamic recrystallization in austenitic stainless steel using cellular automaton method
Modeling and global trajectory tracking control for an over-actuated MAV
Models construction for acetone-butanol-ethanol fermentations with acetate/butyrate consecutively feeding by graph theory
Modeling Swelling Behavior of Thermoresponsive Polymer Brush with Lattice Density Functional Theory
Modeling of a standard 0.35 mu m CMOS technology operating from 77 K to 300 K
Modeling %26quot;unilateral%26quot; response in the cross-ties of a cable network: Deterministic vibration
Modeling and solution of the joint quay crane and truck scheduling problem
Modelling and controller design for discrete-time networked control systems with limited channels and data drift
Mode of action classification of chemicals using multi-concentration time-dependent cellular response profiles
Modeling the dynamics of disaster evolution along causality networks with cycle chains
Modeling of Microstructure Evolution in 22MnB5 Steel during Hot Stamping
Modeling and monitoring of nonlinear multi-mode processes
Model Passengers' Travel Time for Conventional Bus Stop
Modeling for Predicting Flow Stress of Al-14Cu-7Ce Alloy at Elevated Temperature
Modeling and Analysis of an IPv4-IPv6 Address Translation System
Modeling for the optimization of layout scenarios of cluster manifolds with pipeline end manifolds
Modeling of molecular weight distribution of propylene slurry phase polymerization on supported metallocene catalysts
Modeled interaction between the subseasonal evolving of the East Asian summer monsoon and the direct effect of anthropogenic sulfate
Modeling Dynamics of Leaf Color Based on RGB Value in Rice
Model checking approach to automated planning
Modelling the dynamics of European carbon futures price: A Zipf analysis
Modeling Impact of Development Trajectories and a Global Agreement on Reducing Emissions from Deforestation on Congo Basin Forests by 2030
Modeling and analysis of gate-all-around silicon nanowire FET
Modeling and Experiments of the Adhesion Force Distribution between Particles and a Surface
Modeling cracks and inclusions near surfaces under contact loading
Modelling dynamic dependence between crude oil prices and Asia-Pacific stock market returns
Modeling regional linkage of financial markets
Modelling port choice in an uncertain environment
Modern Traditionalism: Consanguineous Marriage in Qatar
Modeling the interaction between fluid flow and particle movement with discontinuous deformation analysis (DDA) method
Modeling RFID signal distribution based on neural network combined with continuous ant colony optimization
Model-Based Prognosis for Hybrid Systems With Mode-Dependent Degradation Behaviors
Model-Based Health Monitoring for a Vehicle Steering System With Multiple Faults of Unknown Types
Modeling of nucleation and growth during vapor condensation on liquid substrates
Modelling the macroeconomic impact of future trajectories of educational development in Least Developed Countries
Modelling the constraints on consanguineous marriage when fertility declines
Modeling the relative roles of the foehn wind and urban expansion in the 2002 Beijing heat wave and possible mitigation by high reflective roofs
Modeling interrelationships between morphological evolution and grain-size trends in back-barrier tidal basins of the East Frisian Wadden Sea
Modeling and Sensorless Direct Torque and Flux Control of a Dual-Airgap Axial Flux Permanent-Magnet Machine With Field-Weakening Operation
Modeling Cell Membrane Perturbation by Molecules Designed for Transmembrane Electron Transfer
Modeling the Effects on Energy and Carbon Dioxide from the Use of Recycled Asphalt Pavement in Hot Mix Asphalt
Modeling ontology evolution with SetPi
Modeling and analysis of the material removal profile for free abrasive polishing with sub-aperture pad
Modeling and simulation of mid-IR amplifying characteristics of Tm3+-doped chalcogenide Photonic Crystal Fibers
Modeling, Evaluation and Analysis of Tourism Destination Competitiveness: A Case Study of the Yangtze River Delta of China
Modernity and Bourgeois Life: Society, Politics, and Culture in England, France, and Germany since 1750
Modeling and estimating returns to seller reputation with unobserved heterogeneity in online auctions
Modeling Competition and Its Impact on Paid-Search Advertising
Models of Anxiety, Depression, Somatization, and Coping as Predictors of Abdominal Pain in a Community Sample of School-Age Children
Models of steam force and torque of a rotor subjected to the leakage of tip clearance
Modeling and solution of COA development based on timed influence net and game theory
Model predictive control-based non-linear fault tolerant control for air-breathing hypersonic vehicles
Modeling Trajectory of Depressive Symptoms Among Psychiatric Inpatients: A Latent Growth Curve Approach
Modeling marine stratocumulus with a detailed microphysical scheme
Modeling of abnormal mechanical properties of nickel-based single crystal superalloy by three-dimensional discrete dislocation dynamics
Model and Topological Characteristics of Power Distribution System Security Region
Modeling acidizing process in lab-scale sandstone cores
Mode switch sequence analysis on one-dimensional trajectory optimization of stratospheric airships
Modeling What Friendship Patterns on Facebook Reveal About Personality and Social Capital
Modeling and stabilities of Mg/MgH2 interfaces: A first-principles investigation
Model-testing technology for an extra-large span tunnel in soft rock
Model test study of evaporation mechanism of sand under constant atmospheric condition
Modeling and boundary control of a flexible marine riser coupled with internal fluid dynamics
Modeling and evaluation of uncertainty set considering wind power prediction error correlation
Moderators of psychosocial intervention in preschoolers with attention deficit hyperactivity disorder
Modeling and analysis on time management performance for time stepped Hla federation
Modeling and calculation of field emission enhancement factor for carbon nanotubes array
Model calculation for the field enhancement factor of carbon nanotube
Modeling 3GPP LTE Advanced DRX Mechanism Under Multimedia Traffic
Modeling of viscoplastic deformation in geomaterials with a polycrystalline approach
Modeling and analysis of cash-flow bullwhip in supply chain
Moderation of a Parent-Based Intervention on Transitions in Drinking: Examining the Role of Normative Perceptions and Attitudes Among High- and Low-Risk First-Year College Students
Modelling the ancient maize agriculture potential of landforms in Tikal National Park, Guatemala
Modeling study of nighttime enhancements in F region electron density at low latitudes
Modeling and Dynamical Feedback Control of a Vehicle Diesel Engine Speed and Air-Path
Modelling lateral entrapment of suspended sediment in estuaries: The role of spatial lags in settling and M-4 tidal flow
Modeling for open circuit magnetic field prediction in slotted surface-mounted permanent-magnet machine
Modeling the pullout behavior of short fiber in reinforced soil
Modeling total maximum allocated loads for heavy metals in Jinzhou Bay, China
Modeling and stability analysis of three-phase SPWM inverter based on switched system theory
Modeling and reasoning of time-dependent concurrent mobile systems based on an extended π-calculus
Model tests on compression of base layer of immersed tube tunnels considering siltation
Modeling and control simulation for the multi-range hydro-mechanical CVT
Model and parameter dependence of heavy quark energy loss in a hot and dense medium
Modeling on granular solid flow in annulus of spouted bed
Model Predictive Control with Feedforward Strategy for Gas Collectors of Coke Ovens
Modeling, Simulation and Control of Pulsed DE-GMA Welding Process for Joining of Aluminum to Steel
Modeling and simulation for DE-GMAW
Modeling and simulation for non-consumable DE-GMAW
Modeling spatial decisions with graph theory: logging roads and forest fragmentation in the Brazilian Amazon
Modeling the Autism Spectrum Disorder Phenotype
Model Estimation of Water Use Efficiency for Soil Conservation in the Lower Heihe River Basin, Northwest China during 2000-2008
Models and Algorithms for the Integrated Planning of Bin Allocation and Vehicle Routing in Solid Waste Management
Modeling visual working memory with the MemToolbox
Modeling the relationship between health and health care expenditures using a latent Markov model
Modelling the impact of compliance with dietary recommendations on cancer and cardiovascular disease mortality in Canada
Modeling effects of vehicle specifications on fuel economy based on engine fuel consumption map and vehicle dynamics
Modelling the future of the Canadian cardiac surgery workforce using system dynamics
Modelling critical illness claim diagnosis rates II: results
Modelling critical illness claim diagnosis rates I: methodology
Modeling of Household Vehicle Type Choice Accommodating Spatial Dependence Effects
Modeling the selectivity of indoor pollution gases over N-2 on covalent organic frameworks
Modeling the pedestrian's movement and simulating evacuation dynamics on stairs
Modeling the Effect of Land Use on Person Miles Traveled by Using Geographically Weighted Regression
Modeling natural gas price volatility: The case of the UK gas market
Modern Motherhood: Women and Family in England, 1945-2000
Modeling and optimization for curing of polymer flooding using an artificial neural network and a genetic algorithm
Model predictive control of time-delayed restraint system based on neurodynamical optimization
Modeling fixation locations using spatial point processes
Modelling route choice behaviour in a tolled road network with a time surplus maximisation bi-objective user equilibrium model
Modeling the operational capabilities for customized and commoditized services
Modelling toolkit to assist with introducing a stepped care system design in mental health care
Modeling centrality measures in social network analysis using bi-criteria network flow optimization problems
Modelling departure time choices by a Heteroskedastic Generalized Logit (Het-GenL) model: An investigation on home-based commuting trips in the Greater Toronto and Hamilton Area (GTHA)
Model-proxy comparison for overshoot phenomenon of Atlantic thermohaline circulation at Bolling-Allerod
Modeling psychological well-being and family relationships among retired older people in Taiwan
Modeling Constellations of Trauma Exposure in the National Child Traumatic Stress Network Core Data Set
Modeling of Bounded Outcome Scores with Data on the Boundaries: Application to Disability Assessment for Dementia Scores in Alzheimer's Disease
Modeling Human Sequence Learning Under Incidental Conditions
Modelling the Dynamics of Crystal Meth (%26apos;Tik%26apos;) Abuse in the Presence of Drug-Supply Chains in South Africa
Modeling and analyzing of conformity behavior: A fuzzy logic approach
Modelling eye movements in a categorical search task
Modeling the efficacy of persuasive strategies for different gamer types in serious games for health
Modeling and analysis of renewable energy obligations and technology bandings in the UK electricity market
Modelling site formation dynamics: geoarchaeological, chronometric and statistical approaches to a stratified rockshelter sequence, Polynesia
Modeling the integrated roles of insurance and retrofit in managing natural disaster risk: a multi-stakeholder perspective
Modelling and Simulation of Volume Controlled Mechanical Ventilation System
Modelling and control for giant magnetostrictive micro-actuator turning system
Modeling based on Prohl-Myklested and nonlinear analysis for an automotive transmission helical gear system
Modeling the effects of tourism and land regulation on land-use change in tourist regions: A case study of the Lijiang River Basin in Guilin, China
Modeling Poliovirus Risks and the Legacy of Polio Eradication
Models of maternity care in rural environments: Barriers and attributes of interprofessional collaboration with midwives
Modelling diffusion feedbacks between technology performance, cost and consumer behaviour for future energy-transport systems
Modeling Unobserved Heterogeneity Using Latent Profile Analysis: A Monte Carlo Simulation
Model test study of surface displacement field of slope stabilized with anti-sliding piles
Modeling user perception of 3D video based on ambient illumination context for enhanced user centric media access and consumption
Models of Transparency
Modelling and simulation of electron-rich effect on Li diffusion in group IVA elements (Si, Ge and Sn) for Li ion batteries
Modeling and Control of Complex Networked Systems
Modelling human tutors%26apos; feedback to inform natural language interfaces for learning
Modest Impact on Risk for Autism Spectrum Disorder of Rare Copy Number Variants at 15q11.2, Specifically Breakpoints 1 to 2
Modeling Pedestrian's Conformity Violation Behavior: A Complex Network Based Approach
Model combination of lasso on regularization path
Modelling the interplay between childhood and adult adversity in pathways to psychosis: initial evidence from the AESOP study
Modeling special-day effects for forecasting intraday electricity demand
Model-based analysis of the environmental impacts of grazing management on Eastern Mediterranean ecosystems in Jordan
Modeling of Bubble-Structure-Dependent Drag for Bubbling Fluidized Beds
Modeling of reactive gas-solid flows in riser reactors using a multi-scale chemical reaction model
Modeling of Magnetic Properties of GO Electrical Steel Based on Epstein Combination and Loss Data Weighted Processing
Modeling and performance analysis of information diffusion under information overload in Facebook-like social networks
Modelling the spine as a deformable body: Feasibility of reconstruction using an optoelectronic system
Moderating Effects of Gender and Loneliness on the Relationship Between Self-esteem and Life Satisfaction in Chinese University Students
Modelling counter-intuitive effects on cost and air pollution from intermittent generation
Modelling the benefits of habitat restoration in socio-ecological systems
Modelling the effects of low indoor temperatures on the lung function of children with asthma
Modeling distortion signals of power grid based on Wiener-G Functionals
Modelling the impacts of challenging 2050 European climate mitigation targets on Ireland%26apos;s energy system
Modeling information exchange opportunities for effective human-computer teamwork
Moderating effects of gender on the relationship between poverty and children%26apos;s externalizing behaviors
Modeling Passenger Travel and Delays in the National Air Transportation System
Modeling of biodiesel production in algae cultivation with anaerobic digestion (ACAD)
Modeling international climate change negotiations more responsibly: Can highly simplified game theory models provide reliable policy insights?
Modeling trade duration in US Treasury markets
Modelling land management for ecosystem services
Moderated Mediation Path Analysis of Mexican Traumatic Brain Injury Patient Social Functioning, Family Functioning, and Caregiver Mental Health
Modeling Short-Range Ballistic Missile Defense and Israel%26apos;s Iron Dome System
Modelling environmental and socio-economic trade-offs associated with land-sparing and land-sharing approaches to oil palm expansion
Modelling the behaviour of unemployment rates in the US over time and across space
Modeling a Preference-Based Index for Two Condition-Specific Measures (Asthma and Overactive Bladder) Using a Nonparametric Bayesian Method
Modelling and forecasting fossil fuels, CO2 and electricity prices and their volatilities
Modeling the links between biodiversity, ecosystem services and human wellbeing in the context of climate change: Results from an econometric analysis of the European forest ecosystems
Modeling distance with time in ancient Mediterranean seafaring: a GIS application for the interpretation of maritime connectivity
Model Selection Criteria for Factor-Augmented Regressions
Modeling with Stochastic Programming
Modelling and mapping spatial heterogeneity in forest recreation services
Modelling competence-based virtual organisations using the unified enterprise competence modelling language
Modelling customer and technical requirements for sustainable supply chain planning
Model Selection and Classification With Multiple Kernel Learning for Hyperspectral Images via Sparsity
Modeling Insurer-Homeowner Interactions in Managing Natural Disaster Risk
Modelling tools to evaluate China%26apos;s future energy system - A review of the Chinese perspective
Modeling the Rate of Senescence: Can Estimated Biological Age Predict Mortality More Accurately Than Chronological Age?
Modeling Organizational Justice Improvements in a Pediatric Health Service
Modelling and predicting customer churn from an insurance company
Modeling volatility and dependency of agricultural price and production indices of Thailand: Static versus time-varying copulas
Model of safety inspection
Modeling Nonlinear Change via Latent Change and Latent Acceleration Frameworks: Examining Velocity and Acceleration of Growth Trajectories
Model predictive control of temperature and humidity of artificial climate chest based on neuro dynamical optimization
Model selection in under-specified equations facing breaks
Modeling intention to participate in face-to-face and online lung cancer support groups
Modeling spatiotemporal patterns of understory light intensity using airborne laser scanner (LiDAR)
Model catalysis studies of the oxidation of propane over VOx-based catalysts
Modeling Complex Living Systems: A Kinetic Theory and Stochastic Game Approach
Modeling and simulation of bulk heterojunction polymer solar cells
Modelling and control design for an electro-pneumatic braking system in trains with multiple locomotives
Moderate-Vigorous Physical Activity across Body Mass Index in Females: Moderating Effect of Endocannabinoids and Temperament
Modern Imaging in Neurology and Psychiatry
Model-Based and Model-Free Decisions in Alcohol Dependence
Model Selection in Equations with Many 'Small' Effects
Models of care for musculoskeletal health in Australia: now more than ever to drive evidence into health policy and practice
Modelling length of stay and patient flows: methodological case studies from the UK neonatal care services
Models for the Diffusion of Beliefs in Social Networks
Modelling human performance within an automotive engine assembly line
Modeling Developmental Plasticity After Perinatal Stroke: Defining Central Therapeutic Targets in Cerebral Palsy
Model selection by minimum description length: Lower-bound sample sizes for the Fisher information approximation
Modelling the price spread between EUA and CER carbon prices
Modeling and test analysis of electromagnetic compatibility of a power IC
Model uncertainty and policy choice: A plea for integrated subjectivism
Model human adaptation to climate change
Modeling the impact of alcohol dependence on mortality burden and the effect of available treatment interventions in the European Union
Modeling human newspaper readers: The Fuzzy Believer approach
Modeling and distributed gain scheduling strategy for load frequency control in smart grids with communication topology changes
Modern bioenergy from agricultural and forestry residues in Cameroon: Potential, challenges and the way forward
Modeling of thermocapillary flow to purify single-walled carbon nanotubes
Modelling renewable energy impact on the electricity market in India
Modelling pedestrian crossing behaviour using Bayesian networks
Modeling the Impact of Design Rework on Transportation Infrastructure Construction Project Performance
Modeling of the asphalt concrete to compare uniaxial, Hollow cylindrical, And indirect tensile test
Modelling factors in primary care quality improvement: a cross-sectional study of premature CHD mortality
Modeling Differences in the Dimensionality of Multiblock Data by Means of Clusterwise Simultaneous Component Analysis
Modeling and analysis for streaming service systems
Moderators of the intention-behaviour relationship in the physical activity domain: a systematic review
Modeling and optimization of two-stage procurement in dual-channel supply chain
Modeling urban expansion policy scenarios using an agent-based approach for Guangzhou Metropolitan Region of China
Modeling of the generalized MIMO channel matrix
Model designing and experimental research of suspen-dome structure
Modeling count data with generalized distributions
Modeling hospital infrastructure by optimizing quality, accessibility and efficiency via a mixed integer programming model
Modeling of direct carbon fuel cells with solid oxide electrolytes
Modeling on the formation of compactible cake
Model for a compressible particle layer of charged particles
Modelling Socio-Environmental Sensitivities: How Public Responses to Low Carbon Energy Technologies Could Shape the UK Energy System
Moderating factors for the effectiveness of group art therapy for schizophrenia: secondary analysis of data from the MATISSE randomised controlled trial
Modelling and analysis of sustainable operations management: certain investigations for research and applications
Modelling the perennial energy crop market: the role of spatial diffusion
Modeling Social-Ecological Feedback Effects in the Implementation of Payments for Environmental Services in Pasture-Woodlands
Modelling energy spot prices by volatility modulated Levy-driven Volterra processes
Modelling land use change across elevation gradients in district Swat, Pakistan
Modes of delivery in preventive intervention studies: a rapid review
Modelling Coral Reef Futures to Inform Management: Can Reducing Local-Scale Stressors Conserve Reefs under Climate Change?
Modeling and simulation of single-phase grid-connected photovoltaic micro-inverter
Modelling sources of variation in transportation systems: theoretical foundations of day-to-day dynamic models
Modeling the morphology-dependent optical properties of single and dimer Pt nanodendrite structures
Modeling predictors of risky drug use behavior among male street laborers in urban Vietnam
Modeling and analysis of failure propagation of mechanical system with multi-operation states using high-level Petri net
Modeling and characteristics analysis of clutter for airborne passive radar
Mode of Entry to an Early Intervention Service for Psychotic Disorders: Determinants and Impact on Outcome
Model Selection for the Trend Vector Model
Modelling volatility by variance decomposition
Modeling walking behavior of pedestrian groups with floor field cellular automaton approach
Model based application level middleware for design of wireless smart city
Modeling and simulation of large capacity battery systems based on the equivalent circuit method
Mode broadening induced by nanoparticles in an optical whispering-gallery microcavity
Modeling and compound control of bellows-driven ultra-precision positioning stage
Models and empirical data for the production of referring expressions
Model Predictive Torque Control of Induction Motor Drives With Optimal Duty Cycle Control
Modeling Social-Ecological Scenarios in Marine Systems
Model checking interactive Markov chains against asCSL specifications
Modeling Conjunctive Water Use as a Reciprocal Externality
Moderate lead exposure and elementary school end-of-grade examination performance
Modern asynchronous electrical drive for a numerically controlled machine
Modeling an alkaline electrolysis cell through reduced-order and loss-estimate approaches
Modelling the influence of spatially varying hydrodynamics on the cross-sectional stability of double inlet systems
Modelling of electric current flow in 1D Pd-C nanostructure: comparison with experiment
Modelling concept of lettuce breeding for nutrient efficiency
Model checking for performability
Modeling Crop Yield in West-African Rainfed Agriculture Using Global and Local Spatial Regression
Modeling the effects of environmentally differentiated distance-based car-use charges in the Netherlands
Model reduction for efficient time-integration of planar flexible multibody models
Model suitability to assess regional potato yield patterns in northern Ecuador
Mode conversion in nonlinear waveguides stimulated by the longitudinal bi-harmonic refractive index modulation
Model for Prioritizing Best Management Practice Implementation: Sediment Load Reduction
Modelling the pH dependency of dissolved calcium and aluminium in O, A and B horizons of acid forest soils
Modeling of adsorption isotherms and kinetics of Remazol Red RB adsorption from aqueous solution by modified clay (vol 51, pg 2726, 2013)
Mode softening, precursor phenomena, and intermediate phases in PbZrO3
Modeling and Analysis of a Density-Dependent Stochastic Integral Projection Model for a Disturbance Specialist Plant and Its Seed Bank
Modelling stomatal conductance in response to environmental factors
Modelling carbon dynamics and response to environmental change along a boreal fen nutrient gradient
Modeling the response of precast, prestressed concrete hollow-core slabs exposed to fire
Modelling of deposit formation and sintering for the co-combustion of coal with biomass
Modelling the potential distribution of the Bridled Skink, Trachylepis vittata (Olivier, 1804), in the Middle East
Modeled Arctic sea ice evolution through 2300 in CMIP5 extended RCPs
Modelling the flood cycle, aquaculture development potential and risk using MODIS data: A case study for the floodplain of the Rio Parana, Argentina
Modeling of lead (II) biosorption by residue of allspice in a fixed-bed column
Modeling the selective catalytic reduction of NOx by ammonia over a Vanadia-based catalyst from heavy duty diesel exhaust gases
Modeling and machining evaluation of microstructure fabrication by fast tool servo-based diamond machining
Modeling Nonlinear Monthly Evapotranspiration Using Soft Computing and Data Reconstruction Techniques
Modeling and Specifying Requirements for Cyber-Physical Systems
Model-based inference for k-nearest neighbours predictions using a canonical vine copula
Modeling and simulations to confirm that a controlled hypoglycemic stress test in healthy subjects is not associated with clinically significant QT prolongations
Modeling and simulation of active suspensions containing large numbers of interacting micro-swimmers
Modeling cell elongation during germ band retraction: cell autonomy versus applied anisotropic stress
Model Design for the Labyrinthine Membranes in Mammals
Modeling Tissue- and Mutation- Specific Electrophysiological Effects in the Long QT Syndrome: Role of the Purkinje Fiber
Models for fuel porosity evolution in UO2 under various regimes of reactor operation
Modelling and control of an assembly/disassembly mechatronics line served by mobile robot with manipulator
Models and algorithms for distributionally robust least squares problems
Modelling thermostatic expansion valves
Modeling the spreading and sliding of power-law droplets
Modeling Mercury Distribution in the Sarasota Bay Ecosystem Using SERAFM and Stable Isotope Ratios of Nitrogen (delta N-15) in Biota
Model Steatogenic Compounds (Amiodarone, Valproic Acid, and Tetracycline) Alter Lipid Metabolism by Different Mechanisms in Mouse Liver Slices
Modelling of vertical-cavity surface-emitting laser beam collimation using a nanostructured gradient index microlens
Modeling of Ionization and Conformations of Starlike Weak Polyelectrolytes
Modelling food logistics networks with emission considerations: The case of an international beef supply chain
Modeling of an ionic polymer metal composite actuator based on an extended Kalman filter trained neural network
Modeling, design and operation of pilot plant for two-stage oxidation of methanol into formic acid
Modelling changes in the components of eggs from broiler breeders over time
Modelling HIV incidence and survival from age-specific seroprevalence after antiretroviral treatment scale-up in rural South Africa
Model selection criteria for reduced rank multivariate time series: a simulation study
Modelling FUSopathies: focus on protein aggregation
Modelling psychiatric and cultural possession phenomena with suggestion and fMRI
Modelling and mapping some soil surface properties of Central Kelkit Basin in Turkey by using Landsat-7 ETM+ images
Modelling of the break force of tablets under diametrical compression
Modeling Scenarios for the End of AIDS
Modeling a Sustainable Salt Tolerant Grass-Livestock Production System under Saline Conditions in the Western San Joaquin Valley of California
Modeling liquid-liquid and vapor-liquid equilibria for the hydrocarbon plus N-formylmorpholine system using the CPA equation of state
Model of a continuous crystallization process for a sorbet by the moments methodology
Modeling of electrochemical process in flow 3D electrode with account for distribution of flow velocity of electrolyte in electrode
Modeling the Radiation of a Mirror Antenna taking Vibration Deformations into Account
Model structure-activity relationship studies of potential tropane 5HT(1A), 5HT(2A), and D-2 receptor ligands
Modelling driving decisions: a latent plan approach
Modeling of IR absorption spectra of impurity defects in diamond nanoclusters
Modeling Markov Switching ARMA-GARCH Neural Networks Models and an Application to Forecasting Stock Returns
Modelling genetics within ecosystems
Modeling and Analysis of the Meshing Losses of Involute Spur Gears in High-Speed and High-Load Conditions
Model-based estimation of sampling-caused uncertainty in aerosol remote sensing for climate research applications
Model selection for ecologists: the worldviews of AIC and BIC
Modeling of Recovery Profiles in Mentally Disabled and Intact Patients after Sevoflurane Anesthesia; A Pharmacodynamic Analysis
Modelling how much extra motorists pay on the road? A cross-sectional study of profit margins of unleaded petrol in Australia
Modelling reading development through phonological decoding and self-teaching: implications for dyslexia
Modelling the prevalence of HCV amongst people who inject drugs: An investigation into the risks associated with injecting paraphernalia sharing
Model test of an inverted conical cylinder floating offshore wind turbine moored by a spring-tensioned-leg
Mode-Matching Analysis of a Coaxially Fed Annular Slot Surrounded with Corrugations
Modeling a channel-type reactor with a plate heat exchanger for cobalt-based Fischer-Tropsch synthesis
Modeling and Analysis of TinyOS Sensor Node Firmware: A CSP Approach
Modeling European hot spells using extreme value analysis
Mode of action and dose-response framework analysis for receptor-mediated toxicity: The aryl hydrocarbon receptor as a case study
Modeling microbial reaction rates in a submarine hydrothermal vent chimney wall
Modeling, extraction, and transformation of semantics in computer aided engineering systems
Modern Staging of Small Cell Lung Cancer
Modeling root reinforcement using a root-failure Weibull survival function
Modernising Agrifood Chains in China: Implications for Rural Development
Modeling a short dc discharge with thermionic cathode and auxiliary anode
Modeling Forces and Moments at the Base of a Rat Vibrissa during Noncontact Whisking and Whisking against an Object
Modeling of Fluorescence Quenching by Lutein in the Plant Light-Harvesting Complex LHCII
Model Selection via Bayesian Information Criterion for Quantile Regression Models
Modeling of a pilot-scale fixed-bed reactor for iron-based Fischer-Tropsch synthesis: Two-dimensional approach for optimal tube diameter
Model Systems for Cardiovascular Regenerative Biology
Modeling Asphaltene Aggregation with a Single Compound
Modeling Effects of Scar on Patterns of Dorsal Column Stimulation
Modeling Microorganism Transport and Survival in the Subsurface
Moderately reduced graphene oxide as hole transport layer in polymer solar cells via thermal assisted spray process
Modeling of Hydrological Conditions for the Restoration of Przemkowsko-Przeclawskie Wetlands
Model for predicting the critical size of aggregates in nanofluids
Modelling forest growing stock from inventory data: A data mining approach
Modeling Elastically Deforming Leaks in Water Distribution Pipes
Modelling of elastic properties of sintered porous materials
Modelling and simulation of a hybrid solar heating system for greenhouse applications using Matlab/Simulink
Modeling and Model Calibration of Traffic Flow on Freeway: Istanbul O1 Example
Modeling Water Potentials and Flows in the Soil-Plant System Comparing Hydraulic Resistances and Transpiration Reduction Functions
Modelling of soil salinity and halophyte crop production
Modeling of schizophrenia with Levodopa plus Carbidopa
Modeling of Micelle-Solution Equilibria for Mixed Nonionic Micelles with Strong Specific Interactions in Coronae: Group-Contribution Approach
Modeling Teamwork in Supervisory Control of Multiple Robots
Modeling the relationship between an emerging infectious disease epidemic and the body of scientific literature associated with it: The case of HIV/AIDS in the United States
Modelling of percolation rate of stormwater from underground infiltration systems
Modelling colony population growth in the filamentous fungus Aspergillus nidulans
Modelling the spectral response of the desert tree Prosopis tamarugo to water stress
Model and test in a fungus of the probability that beneficial mutations survive drift
Modeling and simulating of center plate torsion behavior during bogie curve and turnout negotiation
Modelling spatial distribution of snails transmitting parasitic worms with importance to human and animal health and analysis of distributional changes in relation to climate
Modeling mouse, human, and discipline: Epistemic scaffolds in animal behavior genetics
Modeling diffusion of multi-generational LCD TVs while considering generation-specific price effects and consumer behaviors
Modeling and simulation of the open-end equity mutual fund market in Taiwan by using self-organizing map
Model of annual plants dynamics with facilitation and competition
Modeling of the impact of Se-vacancies on the electrical properties of Cu(In,Ga) Se-2 films and junctions
Modeling and simulation of joint clearance effects on mechanisms having rigid and flexible links
Modeling Biological Pathway Dynamics With Timed Automata
Model independent x-ray standing wave analysis of periodic multilayer structures
Modeling intrinsic kinetics in immobilized photocatalytic microreactors
Model for quantitative risk assessment on naturally ventilated metering-regulation stations for natural gas
Modelling of droplet detachment in the laser droplet brazing process
Modeling, Characterizing, and Accommodating Static Birefringence in Circular and Linear Dichroism Spectroscopy
Modeling of Equilibriums of Formation of Polynuclear Chelates of Nickel(II) with Histidine
Modeling the relationship of globalized supply chains and corporate social responsibility
Modeling of misalignment effects in microfluidic interconnects for modular
Modeling ecological two-sidedness for complex ecosystems
Moderators of Correctional Treatment Success: An Exploratory Study of Racial Differences
Model of Trade-Off between Overlapping and Rework of Design Activities
Modest alcohol consumption and carotid plaques or carotid artery stenosis in men with non-alcoholic fatty liver disease
Model-driven approach to enterprise interoperability at the technical service level
Modeling the Test Day Milk Yields via Time Series Method
Modeling and analysis of micro-sized plates resting on elastic medium using the modified couple stress theory
Modeling quantum processes in classical molecular dynamics simulations of dense plasmas
Modeling Study of Phenylsulfonylfuroxan Derivatives as P-gp Inhibitors: A Combined Approach of CoMFA, CoMSIA and HQSAR
Modeling adsorption kinetics of trichloroethylene onto biochars derived from soybean stover and peanut shell wastes
Modeling and control of hysteresis for DEAP actuator
Modelling and Prediction of Financial Time Series
Modeling of Electronic Properties of Strained Silicon on a Germanium Substrate
Model of formation of the Khibiny-Lovozero ore-bearing volcanic-plutonic complex
Modelling water stress to urban amenity grass in Manchester UK under climate change and its potential impacts in reducing urban cooling
Modelling of gas absorption processes in bubblers
Modelling potential landscape sediment delivery due to projected soybean expansion: A scenario study of the Balsas sub-basin, Cerrado, Maranhao state, Brazil
Models of the interconnection of pumps to supply water to branched networks
Modeling Mechanical Signals on the Surface of mu CT and CAD Based Rapid Prototype Scaffold Models to Predict (Early Stage) Tissue Development
Modeling and simulations of the amplitude-frequency response of transmission line type resonators filled with lossy dielectric fluids
Model-Based Analysis of Wireless System Architectures for Real-Time Applications
Modeling and analysis of high-performance, multicolored anti-reflection coatings for solar cells
Modeling exposures to organophosphates and pyrethroids for children living in an urban low-income environment
Moderating Effects of Media Exposure on Associations between Socioeconomic Position and Cancer Worry
Moderating influences of baseline activity levels in school physical activity programming for children: the Ready for Recess project
Modelling the cost-effectiveness of mass screening and treatment for reducing Plasmodium falciparum malaria burden
Modelling and evaluation of productivity and economic feasibility of a combined production of tomato and algae in Dutch greenhouses
Mode-locked thulium-bismuth codoped fiber laser using graphene saturable absorber in ring cavity: comment
Modelling indoor electromagnetic fields (EMF) from mobile phone base stations for epidemiological studies
Modeling of adsorption isotherms and kinetics of Remazol Red RB adsorption from aqueous solution by modified clay
Modeling of biomass-to-energy supply chain operations: Applications, challenges and research directions
Modelling the structural response of cotton plants to mepiquat chloride and population density
Modeling of %26apos;Gala%26apos; apple fruits diameter for improving the accuracy of early yield prediction
Modelling large spotted genet (Genetta tigrina) and slender mongoose (Galerella sanguinea) occupancy in a heterogeneous landscape of South Africa
Modelling methodology for thermal analysis of hot solder dip process
Modeling cellular compartmentation in one-carbon metabolism
Model of a photovoltaic panel emulator in MATLAB-Simulink
Modeling and analysis of a hybrid communication channel based on free-space optical and radio-frequency technologies
Modelling of CO2 content in the atmosphere until 2300: influence of energy intensity of gross domestic product and carbon intensity of energy
Modeling and simulation of grid connected solid oxide fuel cell using PSCAD
Modeling in Engineering: The Role of Representational Fluency in Students%26apos; Conceptual Understanding
Modern approaches to the encephalographic diagnosis of non-convulsive status epilepticus
Modern surgical management of patients with tetralogy of Fallot
Modeling the dynamics of oxygen consumption upon riverbank filtration by a stochastic-convective approach
Modeling Fine-Scale Geological Heterogeneity-Examples of Sand Lenses in Tills
Modelling the Latex Spreading on Clay
Modelling of free space optical link for ground-to-train communications using a Gaussian source
Modeling and analysis of a methanol synthesis process using a mixed reforming reactor: Perspective on methanol production and CO2 utilization
Modelling the evolution of the Antarctic ice sheet since the last interglacial
Modelling the response of stable water isotopes in Greenland precipitation to orbital configurations of the previous interglacial
Modeling the potential impact of climate change in northern Mexico using two environmental indicators
Modeling and trajectory tracking control of 6-DOF RSS type parallel manipulator
Modern Methods for the Description of Complex Couplings in the Neurophysiology of Respiration
Modelling and controller design of quasi-Z-source inverter with battery-based photovoltaic power system
Model-based decision support for optimal brochure pricing: applying advanced analytics in the tour operating industry
Modern scleral contact lenses: A review
Modelling the Aggregation Process of Cellular Slime Mold by the Chemical Attraction
Modelling influence of temperature on daily peak electricity demand in South Africa
Models of turbulent viscosity and mixing in channels and packed flow-through mixers
Modeling of microburst outflows using impinging jet and cooling source approaches and their comparison
Modeling medical decision making by support vector machines, explaining by rules of evolutionary algorithms with feature selection
Modeling Slotted Aloha of WBAN in Non-Saturated Conditions
Modeling and solving mixed-model assembly line balancing problem with setups. Part I: A mixed integer linear programming model
Model approach to solving the inverse problem of X-ray reflectometry and its application to the study of the internal structure of hafnium oxide films
Modeling and performance analysis of power efficient multi-tier location management in interworked WLAN and cellular network
Mode-locked pulse generation from an all-fiberized, Tm-Ho-codoped fiber laser incorporating a graphene oxide-deposited side-polished fiber
Modelling effects of candidate genes on complex traits as variables over time
Model for a mixture of macroions, counterions, and co-ions in a waterlike fluid
Modelling and monitoring for strategic yield gap diagnosis in the South African sugar belt
Modelling Co-Infection with Malaria and Lymphatic Filariasis
Modeling of Electrostatic QCA Wires
Models of presence-absence estimate abundance as well as (or even better than) models of abundance: the case of the butterfly Parnassius apollo
Modeling Age-Specific Mortality for Countries with Generalized HIV Epidemics
Modeling erosion of ice-rich permafrost bluffs along the Alaskan Beaufort Sea coast
Modelling energy savings in the Danish building sector combined with internalisation of health related externalities in a heat and power system optimisation model
Modelling Dyadic Interaction with Hawkes Processes
Models of wetland settlement and associated land use in South-West Germany during the fourth millennium BC
Modeling throughput sampling size for a cloud-hosted data scheduling and optimization service
Modelling and rescuing neurodevelopmental defect of Down syndrome using induced pluripotent stem cells from monozygotic twins discordant for trisomy 21
Mode-locked erbium-doped fiber laser based on evanescent field interaction with Sb2Te3 topological insulator
Modeling the impacts of policy interventions from REDD plus in Southeast Asia: A case study in Indonesia
Modelling and simulation in business and industry: insights into the processes and practices of expert modellers
Modelling the effects of subjective and objective decision making in scientific peer review
Modelling the effect of baseline risk in meta-analysis: A review from the perspective of errors-in-variables regression
Modeling key pathological features of frontotemporal dementia with C9ORF72 repeat expansion in iPSC-derived human neurons
Mode-channel interdependence of the vibrational excitations associated with K-shell photoionization of CO2
Modern Antiplatelet Therapy - Opportunities and Risks
Modern Psychiatric Treatment: A Tribute to Thomas Detre, MD (1924-2011)
Modeling of a multidispersed adsorption-catalytic system for removal of organics from exhaust gas
Modeling and optimization of the mixed reforming of methane: Maximizing CO2 utilization for non-equilibrated reaction
Modeling the temperature dependence of the discharge behavior of a lithium-ion battery in low environmental temperature
Modeling the Laws that Determine the Quality of Rolled Rounds During the Rolling Operation
Modeling of the Mendoza river watershed as a tool to study climate change impacts on water availability
Model Checking using Spin and SpinRCP
Modelling medium access control in IEEE 802.15.4 nonbeacon-enabled networks with probabilistic timed automata
Modeling the binding specificity of the RNA-binding protein GLD-1 suggests a function of coding region-located sites in translational repression
Models for enzyme-substrate adduct of non-heme iron-containing enzymes, synthesis and characterization
Modelling metal-metal interactions and metal toxicity to lettuce Lactuca sativa following mixture exposure (Cu2+-Zn2+ and Cu2+-Ag+)
Modelling the jetting of dilute polymer solutions in drop-on-demand inkjet printing
Modelling of tar formation and evolution for biomass gasification: A review
Modelling and analysis of the bullwhip effect with customers' baulking behaviours and production capacity constraint
Modelling seasonal circulation and thermohaline structure of the Caspian Sea
Model-based robust chaotification using sliding mode control
Modeling of the spatial distribution of Bactericera cockerelli Sulc. (Hemiptera: Triozidae), in Solanum tuberosum L. (Solanales: Solanaceae)
Modelling inborn errors of metabolism in zebrafish
Modeling the NMR signatures associated with the functional conformational switch in the major light-harvesting antenna of photosystem II in higher plants
Model selection criteria for overdispersed data and their application to the characterization of a host-parasite relationship
Model-Based Analysis of Costs and Outcomes of Non-Invasive Prenatal Testing for Down%26apos;s Syndrome Using Cell Free Fetal DNA in the UK National Health Service
Model highlights likely long-term influences of mesobrowsers versus those of elephants on woodland dynamics
Modeling fluid-structure interaction by the particle finite element method in OpenSees
Modelling long-run trends and cycles in financial time series data
Mode-locked all-fiber ring laser based on broad bandwidth in-fiber acousto-optic modulator
Modelling the Risk of Visual Field Loss Arising from Long-Term Exposure to the Antiepileptic Drug Vigabatrin: A Cross-Sectional Approach
Modeling the cadmium balance in Australian agricultural systems in view of potential impacts on food and water quality
Modelling flow enhancement in nanochannels: Viscosity and slippage
Modern treatment of 84 newly diagnosed craniopharyngiomas
Modeling a planar sheath in dust-containing plasmas
Model to manage and reduce crown-of-thorns starfish outbreaks
Moderate Hypoxia Influences Potassium Outward Currents in Adipose-Derived Stem Cells
Modeling gravity effects on water retention and gas transport characteristics in plant growth substrates
Modelling of the aqueous debittering process of Lupinus mutabilis Sweet
Mode I Critical Stress Intensity Factor of Beech Wood (Fagus Sylvatica) in a TL Configuration: A Comparison of Different Methods
Modeling the eddy transport of momentum and heat: Comparison with direct measurements in free atmosphere
Modelling during an emergency: the 2009 H1N1 influenza pandemic
Modeling and estimation of the parameters of the morphology of the surfaces of thin films of nano- and micro-electromechanical systems
Modeling the effects of pupil-manipulated spherical aberration in optical nanolithography
Modeling off-resonant nonlinear-optical cascading in mesoscopic thin films and guest-host molecular systems
Modeled Contrast in the Response of the Surface Energy Balance to Heat Waves for Forest and Grassland
Modeling luminous efficacy of daylight for Yongin, South Korea
Modeling tidal distortion in the Ogeechee Estuary
Modelling outburst floods from moraine-dammed glacial lakes
Modeling of epigenome dynamics identifies transcription factors that mediate Polycomb targeting
Modeling of the Effects of Ion Specificity on the Onset and Growth of Ionic Micelles in a Solution of Simple Salts
Moderate diet-induced weight loss is associated with improved insulin sensitivity in middle-aged healthy obese Korean women
Modeling of mean-covariance structures in generalized estimating equations with dropouts
Mode of First Delivery and Women's Intentions for Subsequent Childbearing: Findings from the First Baby Study
Modelling uptake and toxicity of nickel in solution to Enchytraeus crypticus with biotic ligand model theory
Modeling Secondary Messenger Pathways in Neurovascular Coupling
Modeling the Effect of pH and Salinity on Biogeochemical Reactions and Metal Behavior in Sediment
Modeling Conditional Distributions for Functional Responses, With Application to Traffic Monitoring via GPS-Enabled Mobile Phones
Modeling the pullout test of nanoreinforced metallic matrices using molecular dynamics
Modeling of crack propagation in strengthened concrete disks
Modelling Late Pleistocene megafaunal extinction and critical cases: A simple prey-predator perspective
Modeling depression in Parkinson disease Disease-specific and nonspecific risk factors
Modelling the spatiotemporal dynamics of diffusive prey-predator interactions: Pattern formation and ecological implications
Modelling the influence of endothelial heterogeneity on the progression of arterial disease: application to abdominal aortic aneurysm evolution
Modeling of the 2011 Japan Tsunami: Lessons for Near-Field Forecast
Mode I fracture toughness analysis of a single-layer grapheme sheet
Modelling and optimisation of the operation of a radiant warmer
Modeled quenching limits of spherical hydrogen diffusion flames
Modeling nucleon generalized parton distributions
Modeling of nitrogen compounds in cometary atmospheres: Fluorescence models of ammonia (NH3), hydrogen cyanide (HCN), hydrogen isocyanide (HNC) and cyanoacetylene (HC3N)
Model evaluation of starch utilization by acclimated biomass with different culture history under pulse and continuous feeding
Modeling of spectral and statistical properties of a random distributed feedback fiber laser
Models predicting non-sentinel node involvement also predict for regional recurrence in breast cancer patients without axillary treatment
Modelling non-linear evolution using Lagrangian perturbation theory re-expansions
Modelling the effect of myosin X motors on filopodia growth
Modern Antarctic acorn worms form tubes
Modelling price dynamics: A hybrid truncated Levy Flight-GARCH approach
Modelling the growth of ZnO thin films by PVD methods and the effects of post-annealing
Moderating variables in the relationship between mental toughness and performance in basketball
Modeling cooking of chicken meat in industrial tunnel ovens with the Flory-Rehner theory
Modeling and stress analyses of a normal foot-ankle and a prosthetic foot-ankle complex
Modelling and simulation of cross flow grain dryers
Mode-locking of Er-doped fiber laser using a multilayer MoS2 thin film as a saturable absorber in both anomalous and normal dispersion regimes
Modeling a Quad Bike for Simulating Rollover Events
Modeling the Gas-solid Flow in the Reduction Shaft of COREX
Modelling of multi-conjugate adaptive optics for spatially variant aberrations in microscopy
Modeling and Analysis of Mobility Management in Mobile Communication Networks
Modern concepts on catalysis of hydroprocessing and synthesis of alcohols from syngas by transition metal sulfides
Moderation of genetic and environmental influences on diurnal preference by age in adult twins
Modelling equable climates of the Late Cretaceous: Can new boundary conditions resolve data-model discrepancies?
Modelling and analysis of pre-combustion CO2 capture with membranes
Modeling ice-ocean interaction in ice-shelf crevasses
Modes of flagellar assembly in Chlamydomonas reinhardtii and Trypanosoma brucei
Modeling HIV-1 Dynamics and Fitness in Cell Culture Across Scales
Modeling the Effect of Intra-Voxel Diffusion of Contrast Agent on the Quantitative Analysis of Dynamic Contrast Enhanced Magnetic Resonance Imaging
Modeling of the 2011 Tohoku Near-Field Tsunami from Finite-Fault Inversion of Seismic Waves
Modeling and analysis of power quality problems caused by coreless induction melting furnace connected to distribution network
Modelling habitat requirements of bullhead (Cottus gobio) in Alpine streams
Modeling the user acceptance of long-term evolution (LTE) services
Modern Outcome and Risk Analysis of Surgically Resected Occult N2 Non-Small Cell Lung Cancer
Models of contrasting strategies of rhinovirus immune manipulation
Modeling binocular competition through Hebbian plasticity and constrained connectivity
Mode Selection for Corrosion Detection in Pipes and Vessels via Guided Wave Tomography
Modeling the Parameters Involved in Preparation of PLA Nanoparticles Carrying Hydrophobic Drug Molecules Using Artificial Neural Networks
Mode of Delivery and Risk of Inflammatory Bowel Disease in the Offspring: Systematic Review and Meta-analysis of Observational Studies
Mode of Delivery and Neonatal Outcomes in Preterm, Small-for-Gestational-Age Newborns (vol 68, pg 9, 2013)
Modeling Complex Points up to Isotopy
Mode-locking in Er-doped fiber laser based on mechanically exfoliated Sb2Te3 saturable absorber
Modeling daily soil temperature using data-driven models and spatial distribution
Modeling of the Cutting Forces in Turning Process Using Various Methods of Cooling and Lubricating: An Artificial Intelligence Approach
Modeling of dual-metal Schottky contacts based silicon micro and nano wire solar cells
Modeling the dynamics of the multiphase fluid in the parabolic-trough solar steam generating systems
Modeling of Simultaneously Continuous and Stochastic Construction Activities for Simulation
Modeling Primary Immunodeficiency Disease Epidemiology and Its Treatment to Estimate Latent Therapeutic Demand for Immunoglobulin
Modeling Technique to Predict Fields, Currents, and Loads for C-Mod's Advanced Outer Divertor During a Disruption With a 2.5-MA Plasma Current and 9-T Toroidal Field
Modeling of threshold and dynamics behavior of organic nanostructured lasers
Modern cosmology: Interactive computer simulations that use recent observational surveys
Modelling of feather pecking behavior in beak-trimmed and non-beak-trimmed crossbred laying hens: Variance component and trait-based approach
Moderately reduced graphene oxide as transparent counter electrodes for dye-sensitized solar cells (vol 81, pg 301, 2012)
Models for predicting wood density variation in Scots pine
Modeling metabolic homeostasis and nutrient sensing in Drosophila: implications for aging and metabolic diseases
Modeling of Parasitic Fringing Capacitance in Multifin Trigate FinFETs
Model Predictive and Genetic Algorithm-Based Optimization of Residential Temperature Control in the Presence of Time-Varying Electricity Prices
Modeling of Optical Spectra of the Light-Harvesting CP29 Antenna Complex of Photosystem II-Part II
Models of multi-alternative control and decision-making in complex systems
Modelling the response of reinforced concrete panels under blast loading
Modeling contaminant transport and remediation at an acrylonitrile spill site in Turkey
Modes of correlated angular motion in live cells across three distinct time scales
Modeling the effect of glacier recession on streamflow response using a coupled glacio-hydrological model
Model for steam properties in parallel-tubing horizontal wells of heavy oil reservoir
Model-free prediction of atmospheric warp based on artificial neural network
Model predictive control, the economy, and the issue of global warming
Modelling the efficacy of hyperthermia treatment
Modelling of dynamics of vortex reversal in nanodisc of cobalt
Modeling the performance of MCFC for various fuel and oxidant compositions
Modeling of the Degradation Kinetics of Biodegradable Scaffolds: The Effects of the Environmental Conditions
Modeling determinants of urban growth in Dongguan, China: a spatial logistic approach
Modeling the polydomain-monodomain transition of liquid crystal elastomers
Modeling of photovoltaic cells and arrays based on singular value decomposition
Modelling the Cost Effectiveness of Disease-Modifying Treatments for Multiple Sclerosis
Model-based Indices Describing Cerebrovascular Dynamics
Modelling dendritic ecological networks in space: an integrated network perspective
Modeling of predissection aortic size in acute type A dissection: More than 90% fail to meet the guidelines for elective ascending replacement
Modeling of Cold Metal Transfer Spot Welding of AA6061-T6 Aluminum Alloy and Galvanized Mild Steel
Models and Numerical Solutions of Generalized Oscillator Equations
Model of care for the management of complex Type 2 diabetes managed in the community by primary care physicians with specialist support: an open controlled trial
Model studies of dense water overflows in the Faroese Channels
Modeled Effectiveness of Ventilation with Contaminant Control Devices on Indoor Air Quality in a Swine Farrowing Facility
Model Predictive Control for Real-Time Tumor Motion Compensation in Adaptive Radiotherapy
Modelling transition due to backward-facing steps using the laminar kinetic energy concept
Modelling and simulation of a system for verticalization and aiding the motion of individuals suffering from paresis of the lower limbs
Modeling the kinetics of pyrite ash biodesulfurization by Saccharomyces cerevisiae and Acetobacter aceti in liquid state bioreactors
Modeling road mortality hotspots of Eastern Hermann%26apos;s tortoise in Romania
Modeling Transformations of Neurodevelopmental Sequences across Mammalian Species
Modeling the hydrologic effects of roadside ditch networks on receiving waters
Modeling of Non-Equilibrium Homogeneous Turbulence in Rapidly Compressed Flows
Modern sedimentation patterns in Lake El%26apos;gygytgyn, NE Russia, derived from surface sediment and inlet streams samples
Modern isotope hydrology and controls on delta D of plant leaf waxes at Lake El'gygytgyn, NE Russia
Modelling three-dimensional protein structures for applications in drug design
Modeling Polyethylene Wear Acceleration Due to Femoral Head Dislocation Damage
Modelling transport in nanoparticle organic solar cells using Monte Carlo methods
Modelling and behaviour of cylindrical shell structures with helical features
Modeling Higher-Order Correlations within Cortical Microcolumns
Modeling the Impact of Treatment Failure on Chlamydia Transmission and Screening
Modeling the pharyngeal anatomical effects on breathing resistance and aerodynamically generated sound
Modelling home advantage in sport: A new approach
Modeling aspects of flow and solute transport simulations in water disinfection tanks
Modelling of EMR data for Fe2+ (S=2) ions in a [2Fe-2S] cluster in the reduced ferredoxin
Modeling the Effect of Suction Change on a Tropical Black Clay Aquitard during Consolidation
Model plant systems in salinity and drought stress proteomics studies: a perspective on Arabidopsis and Sorghum
Modelling sediment load in a glacial meltwater stream in western Norway
Modeling microalgal flocculation and sedimentation
Modeling the Effects of the Cathode Composition of a Lithium Iron Phosphate Battery on the Discharge Behavior
Modelling cell division and endoreduplication in tomato fruit pericarp
Model-based bifurcation and power spectral analyses of thalamocortical alpha rhythm slowing in Alzheimer%26apos;s Disease
Modelling the hidden magnetic field of low-mass stars
Modeling Nasal Physiology Changes Due to Septal Perforations
Modelling the continuum of river channel patterns
Modelling the spatial distribution of Culicoides biting midges at the local scale
Modeling Clinical Endpoints as a Function of Time of Switch to Second-line ART with Incomplete Data on Switching Times
Model of a Raft in Both Leaves of an Asymmetric Lipid Bilayer
Modern Community Mental Health: An Interdisciplinary Approach
Modeling Reformulation Using Query Distributions
Modeling Reliability in Freeway Networks
Modeling of total electron content disturbances caused by electric currents between the Earth and the ionosphere
Modeling of variations of the peak F2 layer electron density and total electron content during the recovery period after the magnetic storm of April 15-20, 2002
Modeling movement of viscose rayon through a spinneret by the finite element method
Modeling Ring/Chain Equilibrium in Nanoconfined Sulfur
Modeling Spatial Patterns in Soil Arsenic to Estimate Natural Baseline Concentrations
Modeling the Prospective Relationships of Impairment, Injury Severity, and Participation to Quality of Life Following Traumatic Brain Injury
Modeling the overall survival of patients with advanced-stage non-small cell lung cancer using data of routine laboratory tests
Modeling increases in snowmelt yield and desynchronization resulting from forest gap-thinning treatments in a northern mountain headwater basin
Model simulation and experiments of flow and mass transport through a nano-material gas filter
Modeling team knowledge sharing and team flexibility: The role of within-team competition
Modelling the hare and the tortoise: predicting the range of in-vehicle task times using critical path analysis
Model rotation curves of disk galaxies oriented at an arbitrary angle to the plane of the sky
Modeling of Fog and Smoke Attenuation in Free Space Optical Communications Link Under Controlled Laboratory Conditions
Modeling of Luneburg Lenses with the Use of Integral Equation Macromodels
Modeling Change in the Presence of Nonrandomly Missing Data: Evaluating a Shared Parameter Mixture Model
Modeling light use efficiency in a subtropical mangrove forest equipped with CO2 eddy covariance
Modelling distributed network attacks with constraints
Modelling molecular and inorganic data of Amanita ponderosa mushrooms using artificial neural networks
Modeling the topography of shallow braided rivers using Structure-from-Motion photogrammetry
Modelling future changes of habitat and fauna in the Tonle Sap wetland of the Mekong
Model test of new floating offshore wind turbine platforms
Modeling of the Critical-Current Behavior of Nb3Sn Subsized Cables Under Transverse Load Using 2D Finite Element Analysis and a Strain Scaling Law
Model-Based Impact and Cost-Effectiveness of Cervical Cancer Prevention in the Extended Middle East and North Africa (EMENA)
Model-Based Impact and Cost-Effectiveness of Cervical Cancer Prevention in Sub-Saharan Africa
Models of delivering palliative and end-of-life care in the UK
Modelling of microwave heating of water in a monomode applicator - Influence of operating conditions
Modelling of Cardiorespiratory Endurance for Smart Sensor Enabled Exercising Course Design
Modeling of in-hospital treatment outcomes for elderly patients with heart failure: Care pathway versus usual care
Model for macroevolutionary dynamics
Model Tests for a Floating Wind Turbine on Three Different Floaters
Modeling air-water interface in disordered fibrous media with heterogeneous wettabilities
Modelling gravitational waves from precessing black-hole binaries: progress, challenges and prospects
Modeling branching in cereals
Modern perspectives on factors predisposing to the development of gallbladder cancer
Modelization of Nanospace Interaction Involving a Ferromagnetic Atom: A Spin Polarization Effect Study by Thermogravimetric Analysis
Modeling of Novel Diagnostic Strategies for Active Tuberculosis - A Systematic Review: Current Practices and Recommendations
Modeling and Calculating the Collision Risk for Air Vehicles
Moderate to severe hidradenitis suppurativa treated with biological therapies
Modeling Users%26apos; Web Search Behavior and Their Cognitive Styles
Modeling the Impact of Climate and Landscape on the Efficacy of White Tailed Deer Vaccination for Cattle Tick Control in Northeastern Mexico
Modeling extreme %26quot;Carrington-type%26quot; space weather events using three-dimensional global MHD simulations
Model studies of bearing capacity of strip footing on sand slope
Model of the behavior of the mixture with different properties of the species under high dynamic loads
Modelling the interseismic deformation of a thrust system: seismogenic potential of the Southern Alps
Modelling Outcomes of Complex Treatment Strategies Following a Clinical Guideline for Treatment Decisions in Patients with Rheumatoid Arthritis
Modelling the pultrusion process of an industrial L-shaped composite profile
Modeling the Oxygen Evolution Reaction on Metal Oxides: The Infuence of Unrestricted DFT Calculations
Model-based requirements verification method: Conclusions from two controlled experiments
Modeling Soil and Biomass Carbon Responses to Declining Water Table in a Wetland-Rich Landscape
Modeling grade progression in an active surveillance study
Modeling nanomaterial fate in wastewater treatment: Monte Carlo simulation of silver nanoparticles (nano-Ag)
Modelling 'non-visual' effects of daylighting in a residential environment
Modeling human gastrointestinal inflammatory diseases using microphysiological culture systems
Modeling of soot particle size distributions in premixed stagnation flow flames
Modelling of laser welding of flat parts using the modifying nanopowders
Modeling the Impact of Solid Surfaces in Thermal Degradation Processes
Modelling cellular signal communication mediated by phosphorylation dependent interaction with 14-3-3 proteins
Modelling approaches for robustness assessment of multi-storey steel-composite buildings
Modeling Population Dynamics and Woody Biomass in Alaska Coastal Forest
Model-Predictive Motion Planning Several Key Developments for Autonomous Mobile Robots
Modeling of slow time-scale behavior of fast molecular dynamic systems
Modeling, simulation and control of wind turbine driven doubly-fed induction generator with matrix converter on the rotor side
Modern analytical supercritical fluid chromatography using columns packed with sub-2 mu m particles: A tutorial
Modeling the effects of contact angle hysteresis on the sliding of droplets down inclined surfaces
Modeling the public health impact of malaria vaccines for developers and policymakers
Modeling maize yield responses to improvement in nutrient, water and cultivar inputs in sub-Saharan Africa
Modelling human visual navigation using multi-view scene reconstruction
Modeling precision treatment of breast cancer
Modelling the complete operation of a free-piston shock tunnel for a low enthalpy condition
Modelling, abstraction, and computation in systems biology: A view from computer science
Modeling and parameter estimation of two-phase endogenous respirograms and COD measurements during aerobic digestion of biological sludge
Modeling methane emissions and methane inventories for cattle production systems in Mexico
Modeling and Validation of Heat and Mass Transfer in Individual Coffee Beans during the Coffee Roasting Process Using Computational Fluid Dynamics (CFD)
Modeling of Thermal Distributions around a Barrier at the Interface of Coating and Substrate
Modeling the thermal state of the intermediate capacity of the electron-beam furnace
Modelling the Use of Wolbachia to Control Dengue Fever Transmission
Model-assisted estimation of change in forest biomass over an 11 year period in a sample survey supported by airborne LiDAR: A case study with post-stratification to provide %26quot;activity data%26quot;
Modeling micro-scale ecological processes and emergent patterns of mountain pine beetle epidemics
Modeling and Mapping of Atmospheric Mercury Deposition in Adirondack Park, New York
Model selection reveals control of cold signalling by evening-phased components of the plant circadian clock
Modelling response selection in task switching: Testing the contingent encoding assumption
Modelling stochastic volatility using generalized t distribution
Modelling of ECM and EDM processes
Modeling the Effects of Cloudy Weather on Regional Epidemics of Soybean Rust
Modeling Total Solar Irradiance with San Fernando Observatory Ground-Based Photometry: Comparison with ACRIM, PMOD, and RMIB Composites
Model-Resolution-Based Basis Pursuit Deconvolution Improves Diffuse Optical Tomographic Imaging
Moderate dynamic compression inhibits pro-catabolic response of cartilage to mechanical injury, tumor necrosis factor-alpha and interleukin-6, but accentuates degradation above a strain threshold
Model-based control of networked distributed systems with multi-rate state feedback updates
Model-based control of vapor compression cycles for transient heat-flux removal
Modeling without representation
Modern warfare: Armed groups, private militaries, humanitarian organizations, and the law
Modeling water flux and salt rejection of mesoporous gamma-alumina and microporous organosilica membranes
Modeling of Scale-Dependent Bacterial Growth by Chemical Kinetics Approach
Modeling daily flowering probabilities: expected impact of climate change on Japanese cherry phenology
Model predictive HVAC control with online occupancy model
Modeling turbidity type and intensity effects on the growth and starvation mortality of age-0 yellow perch
Modelling the global competing risks of a potential interaction between injectable hormonal contraception and HIV risk
Models of hydrostatic magnetar atmospheres at high luminosities
Modeling a Genetic Risk for Schizophrenia in iPSCs and Mice Reveals Neural Stem Cell Deficits Associated with Adherens Junctions and Polarity
Modeling the co-precipitation of silica and calcium oxalate in sugar solutions
Modelling of hydrothermal vent plumes to assess the mineral particle distribution
Modeling of Strong Electrolytes with ePPC-SAFT up to High Temperatures
Model-based PSF and MTF estimation and validation from skeletal clinical CT images
Modeling the Evolution of Glyphosate Resistance in Barnyardgrass (Echinochloa crus-galli) in Cotton-Based Production Systems of the Midsouthern United States
Moderate decreases in the forage-to-concentrate ratio before feed restriction and increases thereafter independently improve the recovery from a feed restriction insult in beef cattle
Modeling the electrical resistivity of deformation processed metal-metal composites
Modeling of the Agglomeration Phenomena in Combustion of Aluminized Composite Solid Propellant
Modeling the SAR backscatter of linear dunes on Earth and Titan
Modeling solar proton access to geostationary spacecraft with geomagnetic cutoffs
Model predictive control for batch processes: Ensuring validity of predictions
Modeling prostate cancer in mice: something old, something new, something premalignant, something metastatic
Modeling the Benefits and Costs of Integrating an Acceptable HLA Mismatch Allocation Model for Highly Sensitized Patients
Models for the analysis of repeated continuous outcome measures in clinical trials
Modeling phase change materials embedded in building enclosure: A review
Model predictive control for buildings: a quantum leap?
Modeling quantum noise for efficient testing of fault-tolerant circuits
Modeling Evolution of Resistance of Sugarcane Borer (Lepidoptera: Crambidae) to Transgenic Bt Corn
Modelling piezoelectric energy harvesting potential in an educational building
Modern sedimentation, circulation and life beneath the Amery Ice Shelf, East Antarctica
Modeling soil parameters using hyperspectral image reflectance in subtropical coastal wetlands
Modelling nifedipine photodegradation, photostability and actinometric properties
Modelling water intrusion and oxygen diffusion in a reconstructed microporous layer of PEM fuel cells
Modeling Bivariate Longitudinal Hormone Profiles by Hierarchical State Space Models
Modelling Cu(II) adsorption to ferrihydrite and ferrihydrite-bacteria composites: Deviation from additive adsorption in the composite sorption system
Modeling Within-Host Effects of Drugs on Plasmodium falciparum Transmission and Prospects for Malaria Elimination
Modelling Artificial Cognition in Biosemiotic Terms
Modeling a Tumor Growth with Piecewise Constant Arguments
Modeling Saharan desert dust radiative effects on clouds
Modeling the effect of temperature, solar radiation and salinity on Bolboschoenus maritimus sequestration of mercury
Modelling of green roofs' hydrologic performance using EPA's SWMM
Modeling the potential distribution of sun bear in Krau wildlife reserve, Malaysia
Modeling and experimental validation of unimorph piezoelectric cymbal design in energy harvesting
Mode interference and radiation leakage in a tapered parallel plate waveguide for terahertz waves
Modeling the kinetics of asphaltene flocculation in toluene-pentane systems for the case of sonicated crude oils
Modelling the effects of global warming on the ground beetle (Coleoptera: Carabidae) fauna of beech forests in Bavaria, Germany
Modeling the initial flame acceleration in an obstructed channel using large eddy simulation
Mode of Delivery and Offspring Body Mass Index, Overweight and Obesity in Adult Life: A Systematic Review and Meta-Analysis
Modeling the dynamics of metabolism in montane streams using continuous dissolved oxygen measurements
Modeling water demand when households have multiple sources of water
Modeling priming effects on microbial consumption of dissolved organic carbon in rivers
Modeling delivery performance in pressurized irrigation systems from simulated peak-demand flow configurations
Modeling effects of initial dislocation microstructure on the yield strength in FCC single crystal Cu with dislocation dynamics
Modeling of Thermal Conductivity of Concrete with Vermiculite Using by Artificial Neural Networks Approaches
Modeling Radiometric Uncertainty for Vision with Tone-Mapped Color Images
Model Prediction and Experiments for the Electrode Design Optimization of LiFePO4/Graphite Electrodes in High Capacity Lithium-ion Batteries
Modeling as a research tool in poultry science
Modeling of protein electrophoresis in silica colloidal crystals having brush layers of polyacrylamide
Modelling fibrinolysis: 1D continuum models
Modelling fibrinolysis: a 3D stochastic multiscale model
Modeling the Spatial Effects on Demand Estimation of Americans with Disabilities Act Paratransit Services
Modeling Water Vapor Diffusion in Pavement and Its Influence on Fatigue Crack Growth of Fine Aggregate Mixture
Modelling daily streamflow at ungauged catchments: what information is necessary?
Modeling strategies for pharmaceutical blend monitoring and end-point determination by near-infrared spectroscopy
Modeling Methodology for Computing the Radar Cross Section and Doppler Signature of Wind Farms
Modelling non-dust fluids in cosmology
Moderate Alcohol Induces Stress Proteins HSF1 and hsp70 and Inhibits Proinflammatory Cytokines Resulting in Endotoxin Tolerance
Modeling the impact of electric vehicle charging on heat transfer around Underground cables
Modeling Deterioration in Concrete Pipes as a Stochastic Gamma Process for Time-Dependent Reliability Analysis
Modeling Heavy Metal Behavior in Sustainable Drainage Systems: A Case Study
Modeling multiphase flow using fluctuating hydrodynamics
Model support for forcing of the 8.2 ka event by meltwater from the Hudson Bay ice dome
Modeling and optimization of ultrasound-assisted extraction of polysaccharide from Cucurbita moschata
Modeling and analysis of a product substitution strategy for a stochastic manufacturing/remanufacturing system
Modelling collaborative product development using axiomatic design principles: application to software industry
Modelling material transfer on a single asperity scale
Model of how plants sense zinc deficiency
Modeling the vertical soil organic matter profile using Bayesian parameter estimation
Modern sedimentation and sediment dispersal pattern on the continental shelf off the Mekong River delta, South China Sea
Modeling dissolved organic carbon in temperate forest soils: TRIPLEX-DOC model development and validation
Moderating effects of positive parenting and maternal alcohol use on emerging adults alcohol use: Does living at home matter?
Modeling and validation of a cross flow turbine using free vortex model and a modified dynamic stall model
Modelling the impact of ivermectin on River Blindness and its burden of morbidity and mortality in African Savannah: EpiOncho projections
Modeling the mitochondrial cardiomyopathy of Barth syndrome with induced pluripotent stem cell and heart-on-chip technologies
Modeling Forest Canopy Structure and Density by Combining Point Quadrat Sampling and Survival Analysis
Modelling leaf chlorophyll content in broadleaf and needle leaf canopies from ground, CASI, Landsat TM 5 and MERIS reflectance data
Modeling Maintenance Project Selection on a Multimodal Transportation Network
Mode decomposition methods for flows in high-contrast porous media. A global approach
Modeling the Relationship between Progression-Free Survival and Overall Survival: The Phase II/III Trial
Model test and simulation of modified spar type floating offshore wind turbine with three catenary mooring lines
Modelling the future CO2 abatement potentials of energy efficiency and CCS: The case of the Dutch industry
Modeling defects in castings using a volume of fluid method
Model-Based Iterative Reconstruction for Dual-Energy X-Ray CT Using a Joint Quadratic Likelihood Model
Model predictive control strategies for buildings with mixed-mode cooling
Modeling Cyber-Physical Vulnerability of the Smart Grid With Incomplete Information
Modeling CO2-brine-rock interactions in the Knox Group: Implications of a deep carbon storage field test in western Kentucky
Modeling 3D Facial Shape from DNA
Modeling and Nonlinear Control of a Fuel Cell/Supercapacitor Hybrid Energy Storage System for Electric Vehicles
Modeling the reflectivity of plasmonic ultrasharp groove arrays: general direction of light incidence
Modeling and Analysis of Driving Behavior Based on a Probability-Weighted ARX Model
Modeling Power-Constrained Optimal Backlight Dimming for Color Displays
Modeling of exclusive parton distributions and long-range rapidity correlations in proton-proton collisions at the LHC energies
Model for a phase-space selector using microwave transitions
Modelling and control of a DC-DC quadratic boost converter with (RP2)-P-2
Modeling the small dark energy scale with a quintessential pseudoscalar boson
Modeling Microinductors With Thin-Film Alloy Magnetic Cores
Modelling the spatial spread of a homing endonuclease gene in a mosquito population
Model predictive control of sea wave energy converters - Part I: A convex approach for the case of a single device
Modelling damage and creep crack growth in structural ceramics at ultra-high temperatures
Modeling of Zymomonas mobilis central metabolism for novel metabolic engineering strategies
Model system for targeted drug release triggered by immune-specific signals
Model-Based Predictive Control of Weld Penetration in Gas Tungsten Arc Welding
Modeling and Analysis of Care Delivery Services Within Patient Rooms: A System-Theoretic Approach
Modeling molecular response in uniform and non-uniform electric fields
Model-Free Stochastic Localization of CBRN Releases
Model study of the effect of bird's nest on transport phenomena in the raceway of an ironmaking blast furnace
Modeling subcooled flow boiling in vertical channels at low pressures - Part 1: Assessment of empirical correlations
Modeling subcooled flow boiling in vertical channels at low pressures - Part 2: Evaluation of mechanistic approach
Modelling the effect of elastic and plastic anisotropies on stresses at grain boundaries
Modeling Biological Copper Clusters: Synthesis of a Tricopper Complex, and Its Chloride- and Sulfide-Bridged Congeners
Modeling potato root growth and water uptake under water stress conditions
Modelling of Thermocapillary Convection within the Molten Pool with the Dispersed Impurity at Laser Surface Hardening of Materials
Modelling biochemical features of mitochondrial neuropathology
Modeling nitrogen-gas, -liquid, -solid chemistries at low temperatures (173-298 K) with applications to Titan
Modern Management of Penetrating Tracheal Injuries
Model-based near-wall reconstructions for immersed-boundary methods
Modeling Genome-Wide Dynamic Regulatory Network in Mouse Lungs with Influenza Infection Using High-Dimensional Ordinary Differential Equations
Model for Screening-Level Assessment of Near-Field Human Exposure to Neutral Organic Chemicals Released Indoors
Moderate Hypoxia Potentiates Interleukin-1 beta Production in Activated Human Macrophages
Modeling and nonlinear control of a flexible-link manipulator
Modelling groundwater residence time in a sub-irrigated buffer zone
Modeling disturbance-based native invasive species control and its implications for management
Modeling Transient Heat Transfer in Small-Size Twin Pipes for End-User Connections to Low-Energy District Heating Networks
Mode of Delivery After Successful External Cephalic Version A Systematic Review and Meta-analysis
Modeling of release position and ventilation effects on olfactory aerosol drug delivery
Models for capacitated lot-sizing problem with backlogging, setup carryover and crossover
Modeling rock weathering in small watersheds
Modeling and simulation of Positron Emission Mammography (PEM) based on double-sided CdTe strip detectors
Model-Based Simulation for Early Neurosurgical Learners
Models of signalling networks - what cell biologists can gain from them and give to them
Modelling intravascular delivery from drug-eluting stents with biodurable coating: investigation of anisotropic vascular drug diffusivity and arterial drug distribution
Modeling Reservation-Based Autonomous Intersection Control in VISSIM
Modeling the horizon-absorbed gravitational flux for equatorial-circular orbits in Kerr spacetime
Model, analysis, and evaluation of the effects of analog VLSI arithmetic on linear subspace-based image recognition
Modeling the effective elastic properties of nanocomposites with circular straight CNT fibers reinforced in the epoxy matrix
Modeling Fuel and EGR Effects under Conventional and Low Temperature Combustion Conditions
Modeling of porous scaffold deformation induced by medium perfusion
Modelling the effects of gravity waves on stratocumulus clouds observed during VOCALS-UK
Modelling the cost-effectiveness of carotid endarterectomy for asymptomatic stenosis
Modelling of a thin soft layer on a self-lubricating ceramic composite
Modelling spontaneous ignition of wood, char and RDF in a lab-scale packed bed
Modeling the growth of Listeria monocytogenes in mold-ripened cheeses
Modeling laser-induced periodic surface structures: Finite-difference time-domain feedback simulations
Modelling the impact of regional uplift and local tectonics on fluvial terrace preservation
Model selection of copulas: AIC versus a cross validation copula information criterion
Modelling vertical transmission in vector-borne diseases with applications to Rift Valley fever
Modeling the Effect of Charge Density in the Active Layers of Reverse Osmosis and Nanofiltration Membranes on the Rejection of Arsenic(III) and Potassium Iodide
Model of Deep Nonvolcanic Tremor Part I: Ambient and Triggered Tremor
Mode-Wise Thermal Conductivity of Bismuth Telluride
Modelling the effects of the mountain pine beetle on snowmelt in a subalpine forest
Modeling Factors and Competitiveness Index for Constructions: Findings in Chile
Model predictive driving simulator motion cueing algorithm with actuator-based constraints
Modeling interacting dynamic networks: II. Systematic study of the statistical properties of cross-links between two networks with preferred degrees
Modeling the spatial distribution of soil properties by generalized least squares regression: Toward a general theory of spatial variates
Mode of delivery has an independent impact on neonatal condition at birth
Moderately elevated intracranial pressure after diffuse traumatic brain injury is associated with exacerbated neuronal pathology and behavioral morbidity in the rat
Modeling the spatial distribution of commercially important reef fishes on the West Florida Shelf
Modeling the Interphase of a Polymer-based Nanodielectric
Model of particulate organic carbon transport in an agriculturally impacted stream
Modeling and Performance Analysis of an Improved Movement-Based Location Management Scheme for Packet-Switched Mobile Communication Systems
Modelling the Sliding Behaviour of Tribofilms Forming During Automotive Braking: Impact of Loading Parameters and Property Range of Constituents
Modeling and simulation of the effects of cyclic loading on articular cartilage lesion formation
Modernizing the systematic review process to inform comparative effectiveness: tools and methods
Modeling of liver metastatic disease with applied drug therapy
Models of bending strength for Gilsocarbon graphites irradiated in inert and oxidising environments
Models of coefficient of thermal expansion (CTE) for Gilsocarbon graphites irradiated in inert and oxidising environments
Modeling the remote and local connectivity of Antarctic krill populations along the western Antarctic Peninsula
Models for elastic shells with incompatible strains
Modeling ductile fracture in metals involving two populations of voids - influence of continuous nucleation of secondary voids upon growth and coalescence of primary voids
Modeling and quality control for reliable precise point positioning integer ambiguity resolution with GNSS modernization
Modeling, Design, and Evaluation of a Parallel Robot for Cochlear Implant Surgery
Model and algorithm for an unpaired pickup and delivery vehicle routing problem with split loads
Modelling diameter distributions of two-cohort forest stands with various proportions of dominant species: A two-component mixture model approach
Mode shift control for a dual-mode power-split-type hybrid electric vehicle
Modeling of the Process of Motion of a Scramjet in the Atmosphere
Modelling to predict future energy performance of solar thermal cooling systems for building applications in the North East of England
Modelling large-scale vented gas explosions in a twin-compartment enclosure
Model of Tryptophan Metabolism, Readily Scalable Using Tissue-specific Gene Expression Data
Modeling the Dynamics of Bivalent Histone Modifications
Modelling in concentrated epidemics: informing epidemic trajectories and assessing prevention approaches
Modeling of the self-limited growth in catalytic chemical vapor deposition of graphene
Modeling soil moisture-precipitation feedback in the Sahel: Importance of spatial scale versus convective parameterization
Modelling and configuration control of wing-shaped bi-stable piezoelectric composites under aerodynamic loads
Models of L-Band Radar Backscattering Coefficients Over Global Terrain for Soil Moisture Retrieval
Modeling the Role of Public Transportation in Sustaining Tuberculosis Transmission in South Africa
Modeling of two-phase random composite materials by finite element, Mori-Tanaka and strong contrast methods
Modeling the effects of mineral nutrition for improving growth and development of micropropagated red raspberries
Modelling unidirectional liquid spreading on slanted microposts
Modeling Competitiveness Factors and Indexes for Construction Companies: Findings of Chile
Model predictive control of a solar-thermal reactor
Modeling Pulmonary Alveolar Proteinosis with Induced Pluripotent Stem Cells
Modeling company failure: a longitudinal study of Turkish banks
Models of Interinstitutional Partnerships between Research Intensive Universities and Minority Serving Institutions (MSI) across the Clinical Translational Science Award (CTSA) Consortium
Modeling impacts of dynamic ventilation strategies on indoor air quality of offices in six US cities
Moderate-to-Severe Aortic Stenosis and Three-Dimensional Echocardiography
Models of trace decay, eligibility for reinforcement, and delay of reinforcement gradients, from exponential to hyperboloid (vol 87, pg 57, 2001)
Modeling the deflection of relativistic particles in axial and planar channels of a silicon crystal
Modelling Changes in Land Cover Patterns in Mtunzini, South Africa Using Satellite Imagery
Modeling the bursting effect in neuron systems
Modeling of two rings -photonic crystal fibers with the scalar - finite element method
Modeling Evolution in the Classroom: The Case of Fukushima%26apos;s Mutant Butterflies
Moderate Hyponatremia Is Associated with Increased Risk of Mortality: Evidence from a Meta-Analysis
Modeling the Effect of Hypoxia on Macrobenthos Production in the Lower Rappahannock River, Chesapeake Bay, USA
Modeling the effect of surface forces on the equilibrium liquid profile of a capillary meniscus
Modeling of Mean-Field Ising-Hysteresis Behavior: A Support Vector Machine Classification
Modeling Microstructure Evolution of Ni Cermet Using a Cellular Automaton Approach
Modeling the impact of early antiretroviral therapy for adults coinfected with HIV and hepatitis B or C in South Africa
Modeling of uniaxial compression in a 3D periodic re-entrant lattice structure
Modelling soil bulk density at the landscape scale and its contributions to C stock uncertainty
Model-based economic evaluation for medical decision making: learn from the past and prepare for the future
Modelling the sensitivity of soil mercury storage to climate-induced changes in soil carbon pools
Modeling, validation, and co-design of IBM Blue Gene/Q: Tools and examples
Modeling the effect of ionic additives on the optical and electronic properties of a dye-sensitized TiO2 heterointerface: absorption, charge injection and aggregation
Modern Concepts Concerning the Origin of the Heartbeat
Models of low vision care: past, present and future
Modelled glacier response to centennial temperature and precipitation trends on the Antarctic Peninsula
Modelling the effects of climate change and disturbance on permafrost stability in northern organic soils
Modelling and measurement of a moving magnet linear compressor performance
Modelling the mitigation of a hydrogen deflagration in a nuclear waste silo ullage with water fog
Modelling the mitigation of hydrogen deflagrations in a nuclear waste silo ullage by depleting the oxygen concentration with nitrogen
Modeling and control of a self-sensing polymer metal composite actuator
Modelling of binding free energy of targeted nanocarriers to cell surface
Moderate mitral regurgitation in aortic root replacement surgery: Comparing mitral repair with no mitral repair
Modeling length-tension properties of RCPm muscles during voluntary retraction of the head
Model based on skew normal distribution for square contingency tables with ordinal categories
Model for ultrashort laser pulse-induced ionization dynamics in transparent solids
Modeling the future costs of carbon capture using experts%26apos; elicited probabilities under policy scenarios
Modeling the Electrical Response of Hydrogen Sensors Based on AlGaN/GaN High-Electron-Mobility Transistors
Modeling and Simulation of Nonstationary Processes Utilizing Wavelet and Hilbert Transforms
Modes of delivery of genetic testing services and the uptake of cancer risk management strategies in BRCA1 and BRCA2 carriers
Modelling centennial sediment waves in an eroding landscape - catchment complexity
Modeling the Cost Effectiveness of Malaria Control Interventions in the Highlands of Western Kenya
Modelling Alzheimer-like cognitive deficits in rats using biperiden as putative cognition impairer
Modelling soil erosion reduction by Mahonia aquifolium on hillslopes in Hungary: The impact of soil stabilization by roots
Modeling the response of shoreface-connected sand ridges to sand extraction on an inner shelf
Modeling Courier Vehicles' Travel Behavior Case of Seoul, South Korea
Modeling temporal variability of soil CO2 emissions from an apple orchard in the Harran Plain of southeastern Turkey
Modeling of chromium nanocluster growth under neutron irradiation
Modelling future impacts of air pollution using the multi-scale UK Integrated Assessment Model (UKIAM)
Modelling of the Critical Micelle Concentration of Cationic Gemini Surfactants Using Molecular Connectivity Indices
Modeling light-duty plug-in electric vehicles for national energy and transportation planning
Modeling and Design of Air-Core Tubular Linear Electric Drives
Modeling of large strain multi-axial deformation of anisotropic metal sheets with strength-differential effect using a Reduced Texture Methodology
Modeling the seasonal variability of the plasma environment in Saturn's magnetosphere between main rings and Mimas
Model for End-stage Liver Disease (MELD) in Predicting Postoperative Mortality of Patients Undergoing Colorectal Surgery
Modeling the Neuroprotective Role of Enhanced Astrocyte Mitochondrial Metabolism during Stroke
Mode Splitting in 37-42 GHz Barium Hexaferrite Resonator: Theory and Device Applications
Modern methods to interrogate microtubule dynamics
Modeling the Evolution of C-4 Photosynthesis
Modeling the benefits of cross-training to address the nursing shortage
Modeling of agglomeration inside a droplet with nanosuspensions in an acoustic field
Modeling Boundary Conditions for Balanced Proliferation in Metastatic Latency
Modest advances in survival for patients with colorectal-associated peritoneal carcinomatosis in the era of modern chemotherapy
Modeling and Analysis of Gleason Score 8-10 Prostate Cancers in the REDUCE Study
Modeling K,ATP-Dependent Excitability in Pancreatic Islets
Modeling of lateral amyotrophic sclerosis: a transgenic method
Modeling of lateral amyotrophic sclerosis: a non-genetic method
Modern multidisciplinary treatment of rectal cancer based on staging with magnetic resonance imaging leads to excellent local control, but distant control remains a challenge
Model-Based Estimation of Unemployment Rates in Small Areas of Portugal
Modelling benthic oxygen consumption and benthic-pelagic coupling at a shallow station in the southern North Sea
Modelling dynamic behaviour of sand-waste tires mixtures using Neural Networks and Neuro-Fuzzy
Modelling circulation, impulse and kinetic energy of starting jets with non-zero radial velocity
Modeling Concentrations of Air Toxics near Intersections and Freeways in Florida
Model hierarchies in edge-based compartmental modeling for infectious disease spread
Modeling of dielectric elastomers: Design of actuators and energy harvesting devices
Modeling of Cost Effectiveness of Pneumococcal Conjugate Vaccination Strategies in US Older Adults
Modelling and analysis of local field potentials for studying the function of cortical circuits
Modeling and Simulation of the Transient Behavior of an Industrial Power Plant Gas Turbine
Modeling species fitness in competitive environments
Modeling of Retention Time for High-Speed Embedded Dynamic Random Access Memories
Modeling the behavior of sandstone based on generalized plasticity concept
Modeling of aerosol spray characteristics for synthesis of sensor thin film from solution
Model of Volume-Delay Formula to assess travel time savings of underground tunnel roads
Modeling the Dynamics of the Aerodynamic Forming of Fibers
Model-guided respiratory organ motion prediction of the liver from 2D ultrasound
Modeling the signature of sulfur mass-independent fractionation produced in the Archean atmosphere
Modelling ecosystem effects of reduced prawn recruitment on the Thukela Bank trawling grounds, South Africa, following nursery loss
Modeling the Impact of Hepatitis C Viral Clearance on End-Stage Liver Disease in an HIV Co-Infected Cohort with Targeted Maximum Likelihood Estimation
Modeling charge transport in DNA using multi-scale methods
Moderate elevations of high-sensitivity cardiac troponin I and B-type natriuretic peptide in chronic hemodialysis patients are associated with mortality
Moderate Glucose Control Is Associated With Increased Mortality Compared With Tight Glucose Control in Critically III Patients Without Diabetes
Model of the Optical Emission of a Driven Semiconductor Quantum Dot: Phonon-Enhanced Coherent Scattering and Off-Resonant Sideband Narrowing
Modelling of a two-phase thermofluidic oscillator for low-grade heat utilisation: Accounting for irreversible thermal losses
Model-based analysis of thromboxane B-2 and prostaglandin E-2 as biomarkers in the safety evaluation of naproxen
Modeling LED street lighting
Moderator for neutron activation with the photoneutrons produced by a LINAC
Modelling and simulations for DDoS attacks mitigation in identifier-locator split network
Modeling Vehicle Interactions During Merge in Congested Weaving Section of Freeway Ramp
Modeling of the human rhinovirus C capsid suggests a novel topography with insights on receptor preference and immunogenicity
Modeling of the human rhinovirus C capsid suggests possible causes for antiviral drug resistance
Models, feedback control, and open problems of 3D bipedal robotic walking
Modelling the Pareto-optimal set using B-spline basis functions for continuous multi-objective optimization problems
Model-Based Analysis for Qualitative Data: An Application in Drosophila Germline Stem Cell Regulation
Modeling, analysis and optimization of calibration uncertainty in clinical laboratories
Modeling the Time-Varying and Level-Dependent Effects of the Medial Olivocochlear Reflex in Auditory Nerve Responses
Modelling foetal growth in a bi-ethnic sample: results from the Born in Bradford (BiB) birth cohort
Modelling salmon lice, Lepeophtheirus salmonis, reproduction on farmed Atlantic salmon, Salmo salar L
Modeling differential item functioning with group-specific item parameters: A computerized adaptive testing application
Modeling and Theoretical Analysis of Multistage Interference Cancellation Scheme
Models of fracture lineaments - Joint swarms, fracture corridors and faults in crystalline rocks, and their genetic relations
Modelling bubble rise and interaction with a glass surface
Modeling Electrochemical Decomposition of Fluoroethylene Carbonate on Silicon Anode Surfaces in Lithium Ion Batteries
Modeling and dynamic optimization of fuel-grade ethanol fermentation using fed-batch process
Modeling the Glutathione Peroxidase-Like Activity of a Cyclic Seleninate by DFT and Solvent-Assisted Proton Exchange
Modelling the activation of alkaline pH response transcription factor PacC in Aspergillus nidulans: Involvement of a negative feedback loop
Model-based Iterative Reconstruction: Effect on Patient Radiation Dose and Image Quality in Pediatric Body CT
Modelling Individual Differences in the Form of Pavlovian Conditioned Approach Responses: A Dual Learning Systems Approach with Factored Representations
Modeling fine-scale soil surface structure using geostatistics
Modeling and Verification of a Heterogeneous Sky Surveillance Visual Sensor Network
Modeling lotsizing and scheduling problems with sequence dependent setups
Modelling the Nonlinear Wave Motion within the Scope of the Fractional Calculus
Modeling chairs and occupants to closely approximate the sound absorption of occupied full scale theatre chairs
Modelling fluid flow in domains containing moving interfaces
Modeling ocean circulation and biogeochemical variability in the Gulf of Mexico
Modeling aqueous-phase hydrodeoxygenation of sorbitol over Pt/SiO2-Al2O3
Modeling the dynamics of incentives in community drug distribution programs
Modelling seed germination in response to continuous variables: use and limitations of probit analysis and alternative approaches
Modelling Molecular Mechanisms: A Framework of Scientific Reasoning to Construct Molecular-Level Explanations for Cellular Behaviour
Model-based principal components of correlation matrices
Model-Based Evaluation of Maturity Type of Potato Using a Diverse Set of Standard Cultivars and a Segregating Diploid Population
Model of formation of inner nanolayers in shear flows of material
Model of incompressible viscous fluid flow driven by pressure difference in a given channel
Modeling of levofloxacin adsorption to goethite and the competition with phosphate
Modeling of a Flexible Instrument to Study its Sliding Behavior Inside a Curved Endoscope
Model-driven engineering of process control software - beyond device-centric abstractions
Model-Based Control of Observer Bias for the Analysis of Presence-Only Data in Ecology
Modeling shows that the NS5A inhibitor daclatasvir has two modes of action and yields a shorter estimate of the hepatitis C virus half-life
Modeling HEDLA magnetic field generation experiments on laser facilities
Modelling and observer-based H-infinity controller design for networked control systems
Modelling stress-dependent permeability in fractured rock including effects of propagating and bending fractures
Model-based characterization and enhancement of the through-thickness thermal conductivity of polymer composites using infrared camera
Model change and reliability in scientific inference
Model Reference Adaptive Sliding Mode Control for Three Dimensional Overhead Cranes
Mode selective interpolation for stereoscopic 3D video in frame-compatible top-bottom packing
Models of Mortality Probability in Severe Traumatic Brain Injury: Results of the Modelling by the UK Trauma Registry
Modelling the effect of GRP78 on anti-oestrogen sensitivity and resistance in breast cancer
Modeling the Thermal Behaviors of a Lithium-Ion Battery during Constant-Power Discharge and Charge Operations
Modelling heat transfer in heterogeneous media using fractional calculus
Modeling of fatigue crack growth in plates under arbitrary mode I stresses
Modern Algorithms of Simulation for Getting Some Random Numbers
Modeling nutrient loads to the northern Adriatic
Modeling processes of non-radiative relaxation of electronically excited states of fluorescent probe 4-dimethylaminochalcone and its complexes with water using non-adiabatic molecular dynamics
Modeling inflammation and oxidative stress in gastrointestinal disease development using novel organotypic culture systems
Modeling flexible pavement overlay performance for use with quality-related specifications
Modeling of rheological properties of mellorine mix including different oil and gum types by combined design, ANN, and ANFIS models
Modelling of income distribution in the European Union with the Fokker-Planck equation
Modelling and optimization of chromium(III) biosorption on soybean meal
Modeling the nucleation statistics in vapor-liquid-solid nanowires
Modeling and investigation of harmonic losses in optimal power flow and power system locational marginal pricing
Modeling and Testing for Joint Association Using a Genetic Random Field Model
Modelling harbour seal habitat by combining data from multiple tracking
Modern foraminifera, delta C-13, and bulk geochemistry of central Oregon tidal marshes and their application in paleoseismology
Modeling of Non-Small Cell Lung Cancer Volume Changes during CT-Based Image Guided Radiotherapy: Patterns Observed and Clinical Implications
Models of plasma membrane organization can be applied to mitochondrial membranes to target human health and disease with polyunsaturated fatty acids
Modeling diurnal land temperature cycles over Los Angeles using downscaled GOES imagery
Modeling annual parameters of clear-sky land surface temperature variations and evaluating the impact of cloud cover using time series of Landsat TIR data
Modelling Discharge Rates and Ground Settlement Induced by Tunnel Excavation
Modeling the dynamics of oxygen consumption upon riverbank filtration by a stochastic-convective approach (vol 505, pg 352, 2013)
Modeling Risk-Related Knowledge in Tunneling Projects
Modelling runoff in a Swiss glacierized catchment - Part II: daily discharge and glacier evolution in the Findelen basin in a progressively warmer climate
Modelling runoff in a Swiss glacierized catchment - part I: methodology and application in the Findelen basin under a long-lasting stable climate
Modelling of Hydraulic Spool-Valves with Specially Designed Metering Edges
Modeling the complex dynamics and changing correlations of epileptic events
Modelling Wellbore Transient Fluid Temperature and Pressure During Diagnostic Fracture-Injection Testing in Unconventional Reservoirs
Modelling of interfacial mass transfer in microfluidic solvent extraction: part I. Heterogenous transport
Modelling of interfacial mass transfer in microfluidic solvent extraction: part II. Heterogeneous transport with chemical reaction
Model Checking a Synchronous Diabetes-Cancer Logical Network
Modeling and simulation of the mechanical response from nanoindentation test of DNA-filled viral capsids
Modeling the Pairwise Key Predistribution Scheme in the Presence of Unreliable Links
Modelling of a vibro-impact capsule system
Moderating Effects of Group Status, Cohesion, and Ethnic Composition on Socialization of Aggression in Children's Peer Groups
Modeling client profiles for order promising and delivery
Modeling first impressions from highly variable facial images
Modeling Social-Ecological Problems in Coastal Ecosystems: A Case Study
Modeling tidal sand wave formation in a numerical shallow water model: The role of turbulence formulation
Modelling of electromagnetic field distribution for optimising electrode configurations in liver MR-based electrical impedance tomography
Modeling heat exchangers by quadratic Volterra polynomials
Modelling of the location of vehicle recycling facilities: A case study in Poland
Modeling interfacial dynamics using nonequilibrium thermodynamics frameworks
Mode-locked Er-doped fiber laser based on liquid phase exfoliated Sb2Te3 topological insulator
Modelling the effect of water fog on the upper flammability limit of hydrogen-oxygen-nitrogen mixtures
Modelling the mitigation of hydrogen deflagrations in a vented cylindrical rig with water fog and nitrogen dilution
Modeling Tower Crane Operator Visibility to Minimize the Risk of Limited Situational Awareness
Modeling of Laser-Tempering Process for Hyper-Eutectoid Steels
Modeling multi-scale aerosol dynamics and micro-environmental air quality near a large highway intersection using the CTAG model
Modeling the Pre-Industrial Roots of Modern Super-Exponential Population Growth
Modelling activities at a neurological rehabilitation unit
Model testing of piles in a centrifuge for prediction of their in-situ performance
Modeling service life of pleated filters exposed to poly-dispersed aerosols
Modeling and Estimating Simulated DNA Nanopore Translocation Signals
Modeling Ewing sarcoma tumors in vitro with 3D scaffolds
Model-based simultaneous optimization of multiple design parameters for lithium-ion batteries for maximization of energy density
Modeling and control of a helicopter slung-load system
Modeling of electric field distribution in tissues during electroporation
Modelling the growth and population dynamics of the exiled Stockton coal plateau landsnail, Powelliphanta augusta
Modeling of Menkes disease via human induced pluripotent stem cells
Modern intraoperative imaging modalities for the vascular neurosurgeon treating intracerebral hemorrhage
Modeling of Nd-Oxide Grain Boundary Phases in Nd-Fe-B Sintered Magnets
Modelling of multiscale nonlinear interaction of elastic waves with three-dimensional cracks
Modeling Studies of Tubular SOFCs for Transportation Markets
Modeling of Growth Morphology of Core-Shell Nanoparticles
Modelling increased metal production in galaxy clusters with pair-instability supernovae
Modeling Physical Growth Using Mixed Effects Models
Modelling the economics of farm-based anaerobic digestion in a UK whole-farm context
Modeling perspective projections in 3-dimensions by rotations in 4-dimensions
Modelling coronary heart disease mortality in Northern Ireland between 1987 and 2007: broader lessons for prevention
Moderate elevations in temperature do not increase oxidative stress in oxidative muscles of Antarctic notothenioid fishes
Modeling fatigue crack growth resistance of nanocrystalline alloys
Modern-day patient challenge: manage cardiac disease, accept devices, and minimize distress
Moderate-Intensity Aerobic Training Program Improves Insulin Sensitivity and Inflammatory Markers in a Pilot Study of Morbidly Obese Minority Teens
Modeling zooplankton growth in Lake Washington: A mechanistic approach to physiology in a eutrophication model
Modeling decision making as a support tool for policy making on renewable energy development
Modeling and analysis of the shear capacity of adhesive anchors post-installed into uncracked concrete
Modeling of the atomic structure and electronic properties of amorphous GaN1-xAsx
Model reduction for efficient time-integration of spatial flexible multibody models
Modeling anomalous diffusion by a subordinated fractional Levy-stable process
Modeling Children's White Matter Volume Intelligence and Academic Achievement in Response to CNS-Directed Therapy
Modelling generation and infrastructure requirements for transition pathways
Modelling planner-carrier interactions in road freight transport: Optimisation of road maintenance costs via overloading control
Model of the formation of a polycrystalline n-ZnO/p-CuO heterojunction
Modeling climate and fuel reduction impacts on mixed-conifer forest carbon stocks in the Sierra Nevada, California
Modeling and optimization of maximum flow survivable overlay multicast with predefined routing trees
Modelling the Velocity Field in a Regular Grid in the Area of Poland on the Basis of the Velocities of European Permanent Stations
Modelling the Impact of Temperature-Induced Life History Plasticity and Mate Limitation on the Epidemic Potential of a Marine Ectoparasite
Moderate Level Exposure to Magnetic Nanodots Encased in Tunable Poly(ethylene glycol) Analouge Biopolymer Shell Do Not Deleteriously Affect Neurite Outgrowth
Model categories for orthogonal calculus
Model Predictive Control Equalization for High-Speed I/O Links
Modeling dopaminergic and other processes involved in learning from reward prediction error: contributions from an individual differences perspective
Modeling and correction of Raman and Rayleigh scatter in fluorescence landscapes
Modeling mensurational relationships of plantation-grown loblolly pine (Pinus taeda L.) in Uruguay
Model-independent constraints on the cosmological anisotropic stress
Modelling of cerebral aneurysm parameters under stent installation
Modeling Motivated Misreports to Sensitive Survey Questions
Modeling Geometric Nonlinearities in the Free Vibration of a Planar Beam Flexure With a Tip Mass
Modeling and Analysis of Neural Spike Trains
Modern data on the biostratigraphy and geochronology of White Sea sediments
Modeling of polarization effects on n-GaN/i-InGaN/p-Gan solar cells with ultrathin GaN interlayers
Modeling of Abrasive Wear in a Piston Ring and Engine Cylinder Bore System
Modeling a Microstretch Thermoelastic Body with Two Temperatures
Modeling upper tropospheric and lower stratospheric water vapor anomalies
Modelling spreading dynamics of nematic liquid crystals in three spatial dimensions
Model-based confidence bands for survival functions
Models of strategies for control of rubella and congenital rubella syndrome-A 40 year experience from Australia
Model based variable selection as a tool to highlight biological differences in Raman spectra of cells
Model-Based Compensation of Thermal Disturbance in a Precision Linear Electromagnetic Actuator
Models and Credibility
Modelling mental rotation in cognitive robots
Modelling the future of Boswellia papyrifera population and its frankincense production
Modeling and simulating epidemics of vehicular worms
Modeling of Efficient Solid-State Cooler on Layered Multiferroics
Modelling risk aversion to support decision-making for controlling zoonotic livestock diseases
Modeling of post-tensioned one-way and two-way slabs with unbonded tendons
Modeling polymer grafted nanoparticle networks reinforced by high-strength chains
Modeling chlorophyll a fluorescence transient: Relation to photosynthesis
Modeling and Control of Three-Phase PMSMs Under Open-Phase Fault
Modeling and analysis of weighted-k-out-of-n: G system consisting of two different types of components
Modeling of dislocation-grain boundary interactions in a strain gradient crystal plasticity framework
Modeling of tensile strength of rocks materials based on support vector machines approaches
Modeling the Synergy of Cofilin and Arp2/3 in Lamellipodial Protrusive Activity
Modeling computational limitations in H-Phy and Overlay-NoC architectures
Model-based Prediction of Skid-steer Robot Kinematics Using Online Estimation of Track Instantaneous Centers of Rotation
Modelling phosphorus in Lake Simcoe and its subcatchments: scenario analysis to assess alternative management strategies
Modeling the tension-compression asymmetric yield behavior of beta-treated Zircaloy-4
Models for predicting microfibril angle variation in Scots pine
Modeling the effect of temperature on survival rate of Salmonella Enteritidlis in yogurt
Modeling Noninvasive Neurostimulation in Epilepsy as Stochastic Interference in Brain Networks
Modeling Minority Stress Effects on Homelessness and Health Disparities among Young Men Who Have Sex with Men
Modeling complex equilibria in isothermal titration calorimetry experiments: Thermodynamic parameters estimation for a three-binding-site model
Modeling of reciprocating internal combustion engines for power generation and heat recovery
Modeling time-dependent transcription effects of HER2 oncogene and discovery of a role for E2F2 in breast cancer cell-matrix adhesion
Model independent extraction of the proton magnetic radius from electron scattering
Modelling laboratory horizontal stress and coal permeability data using S&D permeability model
Modeling, simulation and control of three-phase three level multilevel inverter for grid connected photovoltaic system
Modelling and forecasting stock-recruitment: current and future perspectives
Mode I fracture characterization of a hybrid cork and carbon-epoxy laminate
Modeling toxicity of binary metal mixtures (Cu2+-Ag+, Cu2+-Zn2+) to lettuce, Lactuca sativa, with the biotic ligand model
Modeling Cross-Modal Interactions in Early Word Learning (vol 5, pg 288, 2013)
Modeling the impact of land use changes on runoff and sediment yield in the Le Sueur watershed, Minnesota using GeoWEPP
Modeling and Design of L-Complementary Self-Oscillating Class D Inverter With Output Voltage Clamping
Modeling gas-adsorption-induced swelling and permeability changes in coals
Modelling of diffraction grating based optical filters for fluorescence detection of biomolecules
Modeling Anterior Development in Mice: Diet as Modulator of Risk for Neural Tube Defects
Modelling of the hot deformation behaviour of a titanium alloy using constitutive equations and artificial neural network
Model-predictive direct power control of doubly-fed induction generators under unbalanced grid voltage conditions in wind energy applications
Modelling the genetic contribution to mental illness: a timely end for the psychiatric rodent?
Modelling the asymmetric wind of the luminous blue variable binary MWC 314
Mode interference in quantum joint probabilities for multimode Bose-condensed systems
Models for estimation of tree volume in the miombo woodlands of Tanzania
Modelling of transient cornering and suspension dynamics, and investigation into the control strategies for an ideal driver in a lap time simulator
Modeling controlled photodegradation in optically thick hydrogels
Modern Chronic Traumatic Encephalopathy in Retired Athletes: What is the Evidence?
Modelling the filling rate of pit latrines
Modelling vertical allocation of tree stem and branch volume for hardwoods
Modeling and Analysis of Class-E Amplifier With a Shunt Inductor at Sub-Nominal Operation for Any Duty Ratio
Modeling the effect of voxel resolution on the accuracy of phantom grain ensemble statistics
Moderate seismic activity affects contemporary sediment yields
Model predictive control of sea wave energy converters - Part II: The case of an array of devices
Model based on gamma-glutamyltransferase and alkaline phosphatase for hepatocellular carcinoma prognosis
Modeling the situation awareness by the analysis of cognitive process
Modeling dimensionless longitudinal dispersion coefficient in natural streams using artificial intelligence methods
Model Tests on Horizontal Impedance Functions of Fixed-Head Inclined Pile Groups under Soil Nonlinearity
Modelling of loading, stress relaxation and stress recovery in a shape memory polymer
Modeling of Synthesis and Flow Properties of Propylene-Diene Copolymers
Model for distributed feedback Brillouin lasers
Modelling dynamics of plasmid-gene mediated antimicrobial resistance in enteric bacteria using stochastic differential equations
Modeling evidence that ozone depletion has impacted extreme precipitation in the austral summer
Modeling spatially explicit fire impact on gross primary production in interior Alaska using satellite images coupled with eddy covariance
Modeling Confidence Judgments, Response Times, and Multiple Choices in Decision Making: Recognition Memory and Motion Discrimination
Modeling DNA methylation dynamics with approaches from phylogenetics
Modeling and Analysis of Eddy-Current Damping Effect in Horizontal Motions for a High-Precision Magnetic Navigation Platform
Model-based multi-metric control of uniaxial shake tables
Modeling Complex System Correlation Using Detrended Cross-Correlation Coefficient
Modeling regional aerosol and aerosol precursor variability over California and its sensitivity to emissions and long-range transport during the 2010 CalNex and CARES campaigns
Modeling the estrogen receptor to growth factor receptor signaling switch in human breast cancer cells
Modelling the role of highly unsaturated fatty acids in planktonic food web processes: Sensitivity analysis and examination of contemporary hypotheses
Modelling of an asteroid photoelectron sheath and implications for a sample return mission
Modeling and Analysis on the Propagation Dynamics of Modern Email Malware
Modelling menstrual cycle length and variability at the approach of menopause by using hierarchical change point models
Modeling of thin-film solar thermoelectric generators
Modelling and controller design of quasi-Z-source cascaded multilevel inverter-based three-phase grid-tie photovoltaic power system
Modelling the yield stress of ternary cement-slag-fly ash pastes based on particle size distribution
Modeling the Car-Truck Interaction in a System-Optimal Dynamic Traffic Assignment Model
Modeling Adsorption of Cationic Surfactants at Air/Water Interface without Using the Gibbs Equation
Modeling the transport of freshwater and dissolved organic carbon in the Neuse River Estuary, NC, USA following Hurricane Irene (2011)
Model predictive control of single phase grid connected multilevel inverter
Model for Designing Infiltration Basins in Tropical and Subtropical Climates with a Focus on Unpaved Roads
Modeling Methane Adsorption in Interpenetrating Porous Polymer Networks
Modeling deepwater seabed steady-state thermal fields around buried pipeline including trenching and backfill effects
Modeling bulk density and snow water equivalent using daily snow depth observations
Modelling and understanding the hierarchy in a mixture of experts using multiple catchment descriptors
Models for the water-ice librational band in cool dust: possible observational test
Modeling T cell receptor recognition of CD1-lipid and MR1-metabolite complexes
Moderate physical exercise attenuates the alterations of feeding behaviour induced by social stress in female rats
Modeling the cathode pressure dynamics in the Buckeye Bullet II 540 kW hydrogen PEM fuel cell system
Modeling and experimental validation of a Hybridized Energy Storage System for automotive applications
Modeling and Control of a Nonlinear Mechanism for High Performance Microfluidic Systems
Modeling thrombin generation: plasma composition based approach
Modeling Uncertainties in Workforce Disruptions from Influenza Pandemics Using Dynamic Input-Output Analysis
Modeling and energy management control design for a fuel cell hybrid passenger bus
Modeling Injury Outcomes of Crashes Involving Heavy Vehicles on Texas Highways
Model selection in measures of vascular parameters using dynamic contrast-enhanced MRI: experimental and clinical applications
Modeling and experimental detection of resonance frequency shift of a microwave cavity caused by a small conductive particle
Modeling Extracellular Matrix Reorganization in 3D Environments
Modeling Dormant Bud Cold Hardiness and Budbreak in Twenty-Three Vitis Genotypes Reveals Variation by Region of Origin
Modeling and High-Frequency Simulation of InAs Nanowires
Modeling the impact of work-zone traffic flows upon concrete construction: a high level architecture based simulation framework
Modeling protein association mechanisms and kinetics
Modeling of elastoplastic behavior of stainless-steel/bronze interpenetrating phase composites with damage evolution
Modern Imaging Evaluation of the Brain, Body and Spine Foreword
Modeling Huntington Disease in Drosophila Insights into axonal transport defects and modifiers of toxicity
Modeling integrated photovoltaic-electrochemical devices using steady-state equivalent circuits
Modelling the blast environment and relating this to clinical injury: experience from the 7/7 inquest
Model selection for selectivity in fisheries stock assessments
Modelling Human Disease with Pluripotent Stem Cells
Mode I fracture in adhesively-bonded joints: A mesh-size independent modelling approach using cohesive elements
Modeling lower critical solution temperature behavior of associating polymer brushes with classical density functional theory
Modeling the pipe umbrella roof support system in a Western US underground coal mine
Modeling one complete versus triplicate analyses in low template DNA typing
Mode switching in a multi-wavelength distributed feedback quantum cascade laser using an external micro-cavity
Modeling and simulation on ultrafine-graining based on multiscale crystal plasticity considering dislocation patterning
Modeling Future Life-Cycle Greenhouse Gas Emissions and Environmental Impacts of Electricity Supplies in Brazil
Modeling return on investment for an electronic medical record system in Lilongwe, Malawi
Modelling the rejection of N-nitrosamines by a spiral-wound reverse osmosis system: Mathematical model development and validation
Modeling FOG Drift Using Back-Propagation Neural Network Optimized by Artificial Fish Swarm Algorithm
Moderate land use shifts plant diversity from overstory to understory and contributes to biotic homogenization in a seasonally dry tropical ecosystem
Modeling of Vias Sharing the Same Antipad in Planar Waveguide With Boundary Integral Equation and Group T-Matrix Method
Modeling the field control of the surface electroclinic effect near continuous and first-order smectic-A* to smectic-C* transitions
Modeling chemotaxis of adhesive cells: stochastic lattice approach and continuum description
Modelling and optimal operation of a small-scale integrated energy based district heating and cooling system
Modelling of perforation failure in fibre metal laminates subjected to high impulsive blast loading
Modeling offshore wind installation costs on the US Outer Continental Shelf
Model Combustion-Generated Particulate Matter Containing Persistent Free Radicals Redox Cycle to Produce Reactive Oxygen Species