Home
|
Learning Center
|
中文版
Publications
站内成果搜索:
搜索
Fabrication of Micrometer-Scale Self-Organized Pore Arrays in Anodic Alumina
Fabrication of heteroepitaxial thin films of layered oxychalcogenides LnCuOCh (Ln = La-Nd; Ch = S-Te) by reactive solid-phase epitaxy
Fabrication and electrochemical study of carbon modified TiO2 nanowires
Fabrication and characterization of gold coated hollow silicon microneedle array for drug delivery
Fabrication and Spatially Resolved Functionalization of 3D Microstructures via Multiphoton-Induced Diels-Alder Chemistry
Fabrication of nanostructures with high electrical conductivity on silicon surfaces using a laser-assisted scanning tunneling microscope
Fabrication of axicons by cw laser effusion
Fabrication of 3D Photonic Crystals from Chitosan That Are Responsive to Organic Solvents
Fabrication and Characterization of Ag/BN/Ni Microwave Rejection-Band Filters
Fabrication of a Cu nanodot array based on electroless plating employing a diblock copolymer nanotemplate
Fabrication of ordered bulk heterojunction organic photovoltaic cells using nanopatterning and electrohydrodynamic spray deposition methods
Fabrication of NiO/palygorskite Composite and Its Pseudocapacitance Performances
Fabrication and Characterization of Chitosan and Pectin Nanostructured Multilayers
Fabrication of three-dimensional surface structures with highly fluorescent quantum dots by surface-templated layer-by-layer assembly
Fabrication of one-dimensional devices by a combination of AC dielectrophoresis and electrochemical deposition
Fabrication of efficient microaxicon by direct electron-beam lithography for long nondiffracting distance of Bessel beams for optical manipulation
Fabrication and mechanical property of nano piezoelectric fibres
Fabrication and properties of ultra highly porous silicon carbide by the gelation-freezing method
Fabrication and hyperthermia effect of magnetic functional fluids based on amorphous particles
Fabrication and characterization of micro tubular SOFCs for advanced ceramic reactors
Fabrication of Monodispersed, Multilayered Silica-Y:Eu-Silica Core-Shell Particles and Their Photonic Crystals
Fabricatable nanopore sensors with an atomic thickness
Fabricating nanopores with diameters of sub-1 nm to 3 nm using multilevel pulse-voltage injection
Fabrication of the gating nanopore device
Fabrication of a chirped artificial compound eye for endoscopic imaging fiber bundle by dose-modulated laser lithography and subsequent thermal reflow
Fabrication of thin oriented organic layers and micropatterns by zone casting
Fabrication of high-quality non-close-packed 2D colloid crystals by template-guided Langmuir-Blodgett particle deposition
Fabrication and characterization of sub 100 nm period polymer gratings for photonics applications
Fabrication of Ultrafine Carbon Fibers Possessing a Nanoporous Structure from Electrospun Polyvinyl Alcohol Fibers Containing Silica Nanoparticles
Fabrication of p-Type Silicon Nanowire Arrays with a High Aspect Ratio Using Electrochemical and Alkaline Etching
Fabrication of non-close-packed arrays of colloidal spheres by soft lithography
Fabrication of multi-dimensional colloid crystals on raised surfaces via reversal nanoimprint lithography
Fabrication and optical properties of platinum nanowire arrays on anodic aluminium oxide templates
Fabrication of Metal Oxide Nanoparticles by Highly Exothermic Reactions
Fabrication and electrical characteristics of organic thin film transistor using pi-conjugated dendrimer
Fabrication and testing of a MEMS platform for characterization of stimuli-sensitive hydrogels
Fabrication and phase modulation in organic single-crystalline configurationally locked, phenolic polyene OH1 waveguides
Fabrication and stability of colloidal delivery systems for flavor oils: Effect of composition and storage conditions
Fabrication of thin yttria-stabilized-zirconia dense electrolyte layers by inkjet printing for high performing solid oxide fuel cells
Fabrication and electrical characteristics of Si nanocrystal/c-Si heterojunctions
Fabrication and characterization of Si nanocrystals in SiC matrix produced by magnetron cosputtering
Fabrication and optical properties of periodical structures based on a water-developable and tunable La0.7Sr0.3MnO3 resist
Fabrication of hollow silica microspheres utilizing a hydrothermal approach
Fabrication of single crystalline neodymium oxide nanowires under mild conditions
Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks
Fabrication of MgB2 nanowire and its superconductivity
Fabrication of large-area micro-lens arrays with fast tool control
Fabrication of Vacuum Chamber and Synthesis of Nanotubes. A Theorical Investigation of Exciton-Photon Interaction in the Nanotubes
Fabrication of 3D random microlens array composite optical film with self-assembly
Fabrication of ordered array of nanowires of La0.67Ca0.33MnO3 (x=0.33) in alumina templates with enhanced ferromagnetic transition temperature
Fabrication and properties of metalo-dielectric photonic crystal structures for infrared spectral region
Fabrication of Polymer Solar Cells Using Aqueous Processing for All Layers Including the Metal Back Electrode
Fabrication of Ultrasmall High-Quality Bi2Sr2CaCu2O8+delta Intrinsic Josephson Junctions
Fabrication of quasi-one dimension silicon carbide nanorods prepared by RF sputtering
Fabrication of silver vanadium oxide and V2O5 nanowires for electrochromics
Fabrication and evaluation of solid-oxide fuel cell anodes employing reaction-sintered yttria-stabilized zirconia
Fabrication of nano-patterns composed of metal nanoparticles with photo-nanoimprint
Fabrication of thick porous anodized aluminum oxide templates
Fabrication and characterization of reaction bonded silicon carbide/carbon nanotube composites
Fabrication of a gas sensor with a piezoelectric PZT film deposited by a novel hydrothermal microwave-assisted annealing
Fabric analysis in rocks of the Gadag region (southern India) - Implications for time relationship between regional deformation and gold mineralization
Fabrication of infinite two-dimensional sheets of tetragonal metal(II) lattices - X-ray crystal structures and magnetic properties of [M(CA)(pyz)](n) (M2+ = Mn2+ and Co2+; H(2)CA = chloranilic acid; pyz = pyrazine)
Fabrication and analysis of hollow microneedles and polymeric piezoelectric valveless micropump for transdermal drug-delivery system
Fabry Disease in an Oligosymptomatic Male
Fabrication and characterization of an all-solid tellurite - phosphate photonic bandgap fiber
Fabrication of highly ordered multi-segment line pattern over a large-area
Fabrication and biocompatibility of carbon nanotube-based 3D networks as scaffolds for cell seeding and growth
Fabrication of quasi-one-dimensional oxide nanoconstriction array via nanosphere lithography: A simple approach to nanopatterns of multicomponent oxides
Fabrication optimization of a miniaturized array device for cell-free protein synthesis
Fabrication and Characterization of Semiconducting ZnO Nanofibers for CO Sensing
Fabrication and characterization of nanopores with insulated transverse nanoelectrodes for DNA sensing in salt solution
Fabrication of high density gold nanoparticle arrays on glass for high sensitivity bio-detection
Fabricating water-insoluble polyelectrolyte into multilayers with layer-by-layer self-assembly
Fabrication and transport of large-scale molecular tunnel-junction arrays
Fabrication of a white-light-emitting organic LED adopting the two-wavelength method by using new DPVBi derivatives and an analysis of its characteristics
Fabrication of White Organic Light-Emitting Diodes Using Two Complementary Color Methods
Fabrication of three-dimensional photonic crystal structures by interferometric lithography and nanoparticle self-assembly
Fabrication of macroporous silicon carbide ceramics by intramolecular carbothermal reduction of phenyl-bridged polysilsesquioxane
Fabrication and characterization of copper nanoparticle thin-films and the electrocatalytic behavior
Fabrication and electromagnetic characteristics of microwave absorbers containing carbon nanofibers and NiFe particles
Fabrication of Highly Ordered Arrays of Platinum Nanoparticles Using Direct Laser Interference Patterning
Fabrication of a highly sensitive disposable immunosensor based on indium tin oxide substrates for cancer biomarker detection
Fabrication of patterned Au films as supporting templates for one-dimensional magnetic nanostructures
Fabrication and Analysis of High-Performance Integrated Solenoid Inductor With Magnetic Core
Fabrication of TiO2/SiO2 multilayer film structure by the sol-gel process with efficient thermal treatment methods
Fabrication of Al-Zn/alpha-Al2O3 nanocomposite by mechanical alloying
Fabrication of gas diffusion layer (GDL) containing microporous layer using flourinated ethylene prophylene (FEP) for proton exchange membrane fuel cell (PEMFC)
Fabrication and evaluation of a near-infrared hyperspectral imaging system
Fabrication of Au sidewall micropatterns using Si-reinforced PDMS molds
Fabrication of 316-L stainless steel micro parts by softlithography and powder metallurgy
Fabrication of a near-infrared sensor using a polyaniline conducting polymer thin film
Fabrication of a double-sided micro-lens array by a glass molding technique
Fabrication of polycrystalline Cu2ZnSnSe4 layers with strongly preferential grain orientation via selenization of Sn/Cu/ZnSe(001)/GaAs(001) structures
Fabrication of highly efficient and stable doped red organic light-emitting device using 2-methyl-9,10-di(2-napthyl)anthracene and tris(8-hydroxyquinolinato)aluminum as cohost materials
Fabrication and characterization of hexagonal mesoporous silica monolith via post-synthesized hydrothermal process
Fabrication of GaN Doped ZnO Nanocrystallines by Laser Ablation
Fabrication of Near-Field Plasmonic Tip by Photoreduction for Strong Enhancement in Tip-Enhanced Raman Spectroscopy
Fabrication and characterization of multiwalled carbon nanotubes/silicone rubber composites
Fabrication of biopolymer nanoparticles by antisolvent precipitation and electrostatic deposition: Zein-alginate core/shell nanoparticles
Fabrication of Colloidal Stable, Thermosensitive, and Biocompatible Magnetite Nanoparticles and Study of Their Reversible Agglomeration in Aqueous Milieu
Fabrication of Au@CaCO3 nanoparticles by in situ mineralization in hydrogel microspheres
Fabrication and Characterization of Epitaxial NbN/TaN/NbN Josephson Junctions Grown by Pulsed Laser Ablation
Fabrication and Characterization of NOR-Type Tri-Gate Flash Memory with Improved Inter-Poly Dielectric Layer by Rapid Thermal Oxidation
Fabrication of Floating-Gate-Type Fin-Channel Double- and Tri-Gate Flash Memories and Comparative Study of Their Electrical Characteristics
Fabrication of an electrically conductive mixed self-assembled monolayer and its application in an electrochemical immunosensor
Fabrication, assembly, and characterization of molecular electronic components
Fabrication and electrical properties of strain-modulated epitaxial Ba0.5Sr0.5TiO3 thin-film capacitors
Fabrication of three-focal diffractive lenses by two-photon polymerization technique
Fabrication and mechanical properties of self-reinforced poly(ethylene terephthalate) composites
Fabrication of TERFENOL-D/PZT bilayer structures for the study of voltage control of magnetization easy axis
Fabrication and Characterization of Graded Anodes for Anode-Supported Solid Oxide Fuel Cells by Tape Casting and Lamination
Fabrication of Endothelial Cell-Specific Polyurethane Surfaces co-Immobilized with GRGDS and YIGSR Peptides
Fabrication of Multilayered Microparticles by Integrating Layer-by-Layer Assembly and MicroContact Printing
Fabrication of mesoporous Pt inside micrometer channels via "solvent-evaporation-mediated direct physical casting"
Fabrication and optical properties of highly ordered ZnO nanodot arrays
Fabrication and characterization of diamond gas sensors for detection of semiconductor doping gases
Fabrication of a nanofluidic channel for SPR sensing application using glass-to-glass anodic bonding
Fabrication of oxide nanohole arrays by a liquid phase deposition method
Fabrication of poly-Si TFT with silicided Schottky barrier source/drain, high-kappa gate dielectric and metal gate
Fabrication of indium oxide on indium foil through a solvothermal process
Fabrication of Mesostructured Cobalt Oxide Sensor and Its Application for CO Detector
Fabrication of rigid microstructures with thiol-ene-based soft lithography for continuous-flow cell lysis
Fabrication and characterization of Ti-TiB2 functionally graded material system
Fabrication of composite laser elements by a new thermal diffusion bonding method
Fabrication of WC-Co cermets by laser engineered net shaping
Fabrication and Mechanical Properties of ultra fine WC-6wt.%Co by Spark Plasma Sintering Process
Fabrication and Mechanical Properties of WC-Mo2C-Co Hard Materials by the Pulsed Current Activated Sintering Method
Fabrication and mechanical properties of WC-10 wt.% Co hard materials for a friction stir welding tool application by a spark plasma sintering process
Fabrication of indocyanine green encapsulated biodegradable microbubbles for structural and functional imaging of cancer
Fabrication of TiO2 nanofibers from a mesoporous silica film
Fabry-Perot resonances in birefringent YAlO3 analyzed at terahertz frequencies
Fabrication and magnetic properties of FePt3 nanowire arrays
Fabrication of metallic nanowire arrays by electrodeposition into nanoporous alumina membranes: effect of barrier layer
Fabrication of Iron Nanowire Arrays Using Nanoporous Anodic Alumina Template
Fabricating small-scale, curved, polymeric structures with convex and concave menisci through interfacial free energy equilibrium
Fabrication of functional three-dimensional tissues by stacking cell sheets in vitro
Fabrication of uniformly dispersed nanoparticle-doped chalcogenide glass
Fabrication of gold nanoparticle-doped zeolite L crystals and characterization by optical microscopy: Laser ablation- and crystallization inclusion-based approach
Fabrication and Characterization of Dry Conducting Polymer Actuator by Vapor Phase Polymerization of Polypyrrole
Fabrication of Short-Channel Thin-Film Transistor Using Conventional Photolithography
Fabrication of moth eye structures via charged nanoparticle lithography with size and density control
Fabrication of periodically polarity-inverted ZnO films
Fabrication of targets for proton focus cone fast ignition experiments
Fabrication and characterization of patterned micrometre scale interpenetrating Au-TiO2 network nanocomposites
Fabrication of high current density Nb integrated circuits using a self-aligned junction anodization process
Fabrication of porous poly(acrylamide) beads with macro- and micropores
Fabrication and mechanical characterization of carbon/SiC-epoxy nanocomposites
Fabrication and characterization of three-dimensional metallodielectric photonic crystals for infrared spectral region
Fabrication and photoluminescence of molecular hybrid films based on the complexes of 8-hydroxyquinoline with different metal ions via sol-gel process
Fabrication of dual-focus dual-layered microlens
Fabrication and static characterization of carbon-fiber-reinforced polymers with embedded NiTi shape memory wire actuators
Fabrication of crack-free colloidal crystals using a modified vertical deposition method
Fabrication and structure of alginate gel incorporating gold nanorods
Fabrication of Al2O3-Ti aluminides composite by mechanical alloying process
Fabrication of Nanopowder and Consolidation of Nanocrystalline 5Ni(0.6)Fe(0.4)-Al2O3 Composite by Rapid Sintering
Fabrication of Nanocrystalline Co-Al2O3 from Mechanically Synthesized Powders by Rapid Sintering
Fabrication of high-purity ternary carbide Ti(3)AlC(2) by spark plasma sintering (SPS) technique
Fabrication and microstructure characterization of Ti3SiC2 synthesized from Ti/Si/2TiC powders using the pulse discharge sintering (PDS) technique
Fabrication of hollow melamine-formaldehyde microcapsules from microbubble templates
Fabrication and thermal properties of MicroPCMs: Used melamine-formaldehyde resin as shell material
Fabrication and Investigation of the Charge/Discharge Characteristics of Zinc/PVA-KOH/Carbon Cell
Fabrication of one-dimensional and two-dimensional periodically polarity inverted ZnO structures using the patterned CrN buffer layers
Fabrication and microstructure of the dc-magnetron-sputtered YBa2Cu3O7-x superconducting thin films
Fabrication and photocatalysis of TiO2 flower-like nanostructures
Fabrication of DNA-Coated Microneedles for Transdermal DNA Delivery
Fabrication of carbon nanostructures using photo-nanoimprint lithography and pyrolysis
Fabrication and electrochemical characterization of planar Pt-CGO microstructures
Fabrication of SiCf/SiC composites by SITE-P process
Fabrication of Two-Dimensional Gradient Layer-by-Layer Films for Combinatorial Biosurface Studies
Fabrication of magnetic luminescent nanocomposites via adsorption-precipitation of metal ions on sulfonated iron oxide nanoparticles
Fabrication of Bi-2212 Coatings Using Thermospraying
Fabrication of one-transistor-capacitor structure of nonvolatile TFT ferroelectric RAM devices using Ba(Zr0.1Ti0.9)O-3 gated oxide film
Fabrication and characterization of ZnS hollow nanostructures in micelle system
Fabrication of a superhydrophobic surface from the amplified exponential growth of a multilayer (vol 18, pg 1441, 2006)
Fabrication of hydrophobic zeolites using triethoxyfluorosilane and their application as supports for TiO(2) photocatalysts
Fabrication of Hydrophobic Zeolites Using Triethoxyfluorosilane and their Application for Photocatalytic Degradation of Acetaldehyde
Fabrication of wedge-shape tool via electrochemical micromachining with diamond-like carbon coating
Fabrication of a nonvolatile full adder based on logic-in-memory architecture using magnetic tunnel junctions
Fabrication of microfluidic devices using photopatternable hybrid sol-gel coatings
Fabrication of a nanoparticle gradient substrate by thermochemical manipulation of an ester functionalized SAM
Fabry-Perot complex plasmonic eigenfrequencies for equally spaced noble-metal parallel plates
Fabrication of Au-molecule-Au junctions using electromigration method
Fabrication of polymer antireflection structures by injection molding using ordered anodic porous alumina mold
Fabry disease. Diagnosis and treatment
Fabry disease in unselected patients with TIA or stroke: population-based study
Fabry disease - Pain doctors have to find the missing ones
Fabrication and Analysis of Epitaxially Grown Ge1-xSnx Microdisk Resonator With 20-nm Free-Spectral Range
Fabrication and performance of a medium-dependent SiO2/Si photonic heterostructure device
Fabrication of a seamless roll mold by direct writing with an electron beam on a rotating cylindrical substrate
Fabrication and Properties of Longitudinal and Transverse Current Rectifier Devices Based on Superconducting Films With Arrays of Nanodefects
Fabrication of air gap dielectrics by nanoimprint lithography
Fabrication of anodized aluminum oxide membrane with nanometer pores
Fabrication and characterization of Zn-ZnO core-shell microspheres from nanorods
Fabrication of lead zirconate titanate ceramic fibers by gelation of sodium alginate
Fabrication of covalent SAM/Au nanoparticle/boron-doped diamond configurations with a sequential self-assembly method
Fabrication of polypropylene/silver nanocomposites for biocidal applications
Fabrication and characterization of nanometer-sized gaps in suspended few-layer graphene devices
Fabrication of nanofibrous electrospun scaffolds from a heterogeneous library of co- and self-assembling peptides
Fabrication and characterization of graphite-cement composites for microbial fuel cells applications
Fabry-Perot interferometer for sensing polar liquids at terahertz frequencies
Fabrication of ice-templated tubes by rotational freezing: Microstructure, strength, and permeability
Fabrication of polymer nanocomposites via ball milling: Present status and future perspectives
Fabrication of strawberry-like Au@CeO2 nanoparticles with enhanced catalytic activity by assembly of block copolymer composite micelles
Fabrication of amorphous silicon nitride thin films by radio-frequency sputtering assisted by an inductively coupled plasma
Fabrication and Functionalization of Inorganic Materials Using Amphiphilic Molecules
Fabrication of Efficient Visible-light-responsive TiO2-WO3 Hollow Particle Photocatalyst by Electrospray Method
Fabrication of quasi-phase-matching stacks of GaAs plates using a new technique: room-temperature bonding
Fabrication of Bi2223 bulks with high critical current properties sintered in Ag tubes
Fabrication of iron-based superconducting tapes using Ba1-xKxFe2As2 with x=0.3 and 0.4
Fabrication of Macroporous TiO2 Loaded with Magnetite for Photocatalytic Degradation of Methylene Blue
Fabrication and electrical investigations of Pb-doped SaTiO(3) ceramics
Fabrication and characterization of short-period double-layer cross-grating with holographic lithography
Fabrication, electrical and dielectric characterization of Cd-Ni nanoferrites
Fabrication of chitosan/PEO nanofiber mats with mica by electrospinning
Fabrication of In2O3/Co3O4-palygorskite composites by the pyrolysis of In/Co-MOFs for efficient degradation of methylene blue and tetracycline
Fabrication of divertor mock-up with ODS-Cu and W by the improved brazing technique
Fabrication and properties of poly(butylene succinate) biocomposites reinforced by waste silkworm silk fabric
Fabrication of amino-containing hollow polymer latex and its composite with inorganic nanoparticles
Fabrication of Aluminum Foams with Small Pore Size by Melt Foaming Method
Fabrication of molybdenum trioxide (MoO3) coating by electrophoretic deposition
Fabrication and room temperature operation of semiconductor nano-ring lasers using a general applicable membrane transfer method
Fabrication of polymeric-Laponite composite hollow microspheres via LBL assembly
Fabrication of amorphous strontium polyphosphate microparticles that induce mineralization of bone cells in vitro and in vivo
Fabrication of graphene oxide/polymer latex composite film coated on KNO3 fertilizer to extend its release duration
Fabrication of High-Porosity Lotus-Type Porous Aluminum in Vacuum
Fabrication of novel biodegradable porous bone scaffolds based on amphiphilic hydroxyapatite nanorods
Fabrication of a micro through-hole array by gas-blowing a PDMS-treated polyamide screen for a flexible drag-reducing skin-like device
Fabrication and characterization of dual AFM probe with narrow-gapped silicon tips and switchable cantilevers with magneto-strictive FePd film actuator
Fabrication and evaluation of plasmonic light-emitting diodes with thin p-type layer and localized Ag particles embedded by ITO
Fabrication and Optical Properties of Strain-free Self-assembled Mesoscopic GaAs Structures
Fabrication of Au-Nanoparticle-Embedded Lipid Bilayer Membranes Supported on Solid Substrates
Fabrication of a Nanoscale Electrical Contact on a Bismuth Nanowire Encapsulated in a Quartz Template by Using FIB-SEM
Fabrication of multilayered Au/silica/gadolinium compound core-shell particles and their imaging properties
Fabrication of hydroxyapatite thin films on polyetheretherketone substrates using a sputtering technique
Fabrication of single-crystalline plasmonic nanostructures on transparent and flexible amorphous substrates
Fabrication of ordered mesoporous solid super base with high thermal stability from mesoporous carbons
Fabrication of Mo microcones for volcano-structured double-gate Spindt-type emitter cathodes using triode high power pulsed magnetron sputtering
Fabrication of PEDOT coated PVA-GO nanofiber for supercapacitor
Fabrication and thermomechanical characterization of a new Cu-Al-Ni-Mn-Ti shape memory alloy bolt
Fabrication of three-dimensional interconnected nanoporous hydroxyapatite by freeze-thaw process of amorphous calcium phosphate-poly(vinyl alcohol) gel
Fabrication and characterization of shape memory polyurethane porous scaffold for bone tissue engineering
Fabrication of Cu2MoS4 hollow nanotubes with rGO sheets for enhanced visible light photocatalytic performance
Fabrication of a PSZ-Ti functionally graded material by spark plasma sintering and its fracture toughness
Fabrication of high quality, thin Ge-on-insulator layers by direct wafer-bonding for nanostructured thermoelectric devices
Fabrication of Laminated Spark Plasma Sintered Compacts Composed of Alumina-Particle-Dispersed Magnesium and Magnesium
Fabrication of Monodispersed Au@SiO2 Nanoparticles with Highly Stable Silica Layers by Ultrasound-Assisted Stober Method
Fabrication of copper ferrite porous hierarchical nanostructures for an efficient liquefied petroleum gas sensor
Fabrication and and characterization of optical sensors using metallic core-shell thin film nanoislands for ozone detection
Fabrication and characterization of dental mirror product using polylactic acid biocomposites
Fabrication of Progesterone-Loaded Nanofibers for the Drug Delivery Applications in Bovine
Fabrication of superhydrophobic and oleophobic zinc coating on steel surface
Fabrication and theoretical explanation of the superhydrophobic Cu-Zn coating with dandelion-like CuO microstructure
Fabrication of Extracellular Matrix-derived Foams and Microcarriers as Tissue-specific Cell Culture and Delivery Platforms
Fabrication of Patterned Superhydrophobic/Hydrophilic Substrates by Laser Micromachining for Small Volume Deposition and Droplet Based Fluorescence
Fabrication and electrochemical properties of SPVdF-co-HFP/SPES blend proton exchange membranes for direct methanol fuel cells
Fabrication of efficient and selective total arsenic sensor using the hybrid materials modified carbon paste electrodes
Fabrication and Characterization of Regenerated Leather Using Chrome Shavings as Raw Materials
Fabrication of Sustained-release CA-PU Coaxial Electrospun Fiber Membranes for Plant Grafting Application
Fabrication of TiO2 in-situ decorated and hierarchical Li4Ti5O12 for improved lithium storage
Fabrication of TiO2/PI composite nanofibrous membrane with enhanced photocatalytic activity and mechanical property via simultaneous electrospinning
Fabrication, Characterization and Dielectric Studies of NBR/Hydroxyapatite Nanocomposites
Fabrication, characterization and electroluminescence studies of SrS: Ce3+ ACTFEL device
Fabrication and cutting performance of reactively hot-pressed TiB2-TiC-SiC ternary cutting tool in hard turning of AISI H13 steel
Fabrication of heterostructured Bi2O2CO3/Bi2O4 photocatalyst and efficient photodegradation of organic contaminants under visible-light
Fabrication of water-soluble poly(vinylalcohol)-based composites with improved thermal behavior for potential three-dimensional printing application
Fabrication of zinc oxide/polyaniline (ZnO/PANT) heterojunction and its characterisation at room temperature
Fabrication of chemiresistive gas sensors based on multistep reduced graphene oxide for low parts per million monitoring of sulfur dioxide at room temperature
Fabrication of nanostructures through self-assembly of non-ionic amphiphiles for biomedical applications
Fabrication of selenization-free superstrate-type based on all-spin-coated layers
Fabrication of new magnetite-graphene nanocomposite and comparison of its laser-hyperthermia properties with conventionally prepared magnetite-graphene hybrid
Fabrication of SiC body by microwave sintering process
Fabrication and Characterization of Heparin/Collagen Sponge for in Vitro Differentiation of Wharton's Jelly-Derived Mesenchymal Stem Cells into Hepatocytes
Fabrications of Surface Nanocomposite by Friction Stir Processing to Improve Mechanical and Microstructural Properties of Low Carbon Steel
Fabrication and characterization of platelet-rich plasma scaffolds for tissue engineering applications
Fabrication of polyamide thin-film nanocomposite membranes with enhanced surface charge for nitrate ion removal from water resources
Fabrication of Al/WC Micro-composite Surface Layer on 6061Al Substrate Using Gas Tungsten Arc Welding (GTAW)
Fabrication and in-vitro Evaluation of Ketotifen Fumarate-loaded PLGA Nanoparticles as a Sustained Delivery System
Fabrication of vesicular polyaniline using hard templates and composites with graphene for supercapacitor
Fabrication of Au decorated porous ZnO microspheres with enhanced gas sensing properties
Fabrication of micro-scale textured grooves on green ZrO2 ceramics by pulsed laser ablation
Fabrication and characterization of core-shell polystyrene/polyaniline/Au composites and their catalytic properties for the reduction of 4-nitrophenol
Fabrication and study of curcumin loaded nanoparticles based on folate-chitosan for breast cancer therapy application
Fabrication of superhydrophobic unplasticized poly(vinyl chloride)/nanosilica sheets using Taguchi design methodology
Fabrication of nano-Fe3O4 3D structure on carbon fibers as a microwave absorber and EMI shielding composite by modified EPD method
Fabrication of bridge like Pt@MWCNTs/CoS2 electrocatalyst on conductive polymer matrix for electrochemical hydrogen evolution
Fabrication of High Sensitive UV Photodetector Based on n-ZnO Nanowire/n-Porous-Si Heterojunction
Fabrication and functional attributes of lipidic nanoconstructs of lycopene: An innovative endeavour for enhanced cytotoxicity in MCF-7 breast cancer cells
Fabrication of silicon embedded isomeric chalcone linkers using [CuBr(PPh3)(3)]
Fabrication of zero contact angle ultra-super hydrophilic surfaces
Fabrication of non-fullerene P3HT/Ag-x-TiO2 based polymer solar cells with high open circuit voltage
Fabrication of curcumin-loaded gum tragacanth/poly(vinyl alcohol) nanofibers with optimized electrospinning parameters
Fabrication of three layered W-Cu functionally graded composite via spark plasma sintering
Fabrication and simulation of inverse poly(ferrocenylmethylvinylsilane)/silica opal structures and their optical properties
Fabrication and characterization of PVA/Gum tragacanth/PCL hybrid nanofibrous scaffolds for skin substitutes
Fabrication of self-ruled micro grating on CR-39 using ArF laser-induced rippling
Fabrication of a low surface energy acrylic/melamine clearcoat with enhanced weathering and biological resistances: Investigation of the role of organic UV absorber and nanosilica particles
Fabrication of anodized Li[Ni1/3Co1/3Mn1/3]O-2 as cathode to enhanced the capacities for energy storage and conversion device
Fabrication and photovoltaic performance of niobium doped TiO2 hierarchical microspheres with exposed {001} facets and high specific surface area
Fabrication of magnetic polymers from synthesized and commercial ferrofluids
Fabrication of Two Flow Phantoms for Doppler Ultrasound Imaging
Fabrication of monticellite-akermanite nanocomposite powder for tissue engineering applications
Fabrication and characterization of novel semolina-based antimicrobial films derived from the combination of ZnO nanorods and nanokaolin
Fabrication of photochromic, hydrophobic, antibacterial, and ultraviolet-blocking cotton fabric using silica nanoparticles functionalized with a photochromic dye
Fabric assessment of damaged anisotropic geo-materials using the multi-laminate model
Fabrication of Cu2SnS3 thin film solar cells using Cu/Sn layered metallic precursors prepared by a sputtering process
Fabrication of pulsed laser deposited Ge doped CZTSSe thin film based solar cells: Influence of selenization treatment
Fabrication of nanostructured ZnO thin films based NO2 gas sensor via SILAR technique
Fabrication and comparative mechanical, electrical and water absorption characteristic properties of multifunctional epoxy resin of bisphenol-C and commercial epoxy-treated and -untreated jute fiber-reinforced composites
Fabrication of pulsed laser deposited Ge doped CZTSSe thin film based solar cells: Influence of selenization treatment (vol 161, pg 355, 2017)
Fabrication of nanostructured CuWO4 for photocatalytic degradation of organic pollutants in aqueous solution
Fabrication of an electrochemical sensor based on magnetic nanocomposite Fe3O4/beta-alanine/Pd modified glassy carbon electrode for determination of nanomolar level of clozapine in biological model and pharmaceutical samples
Fabrication of a gold nanocage/graphene nanoscale platform for electrocatalytic detection of hydrazine
Fabrication of aptamer decorated dextran coated nano-graphene oxide for targeted drug delivery
Fabrication of robust and durable superhydrophobic fiberglass fabrics for oil-water separation based on self-assembly of novel N-TESPO and N-TESPS reagents
Fabrication optimization of polyethersulfone (PES)/polyvinylpyrrolidone (PVP) nanofiltration membranes using Box-Behnken response surface method
Fabrication of Ta2O5 nanostructure films via electrochemical anodisation of tantalum
Fabrication of polysulfone nanocomposite membranes with silver-doped carbon nanotubes and their antifouling performance
Fabrication and Evaluation of Polycaprolactone-Poly(hydroxybutyrate) or Poly(3-Hydroxybutyrate-co-3-Hydroxyvalerate) Dual-Leached Porous Scaffolds for Bone Tissue Engineering Applications
Fabrication of porous boron-doped diamond on SiO2 fiber templates
Fabrication of biodegradable textile scaffold based on hydrophobized hyaluronic acid
Fabrication of modified polyethersulfone membranes for wastewater treatment by submerged membrane bioreactor
Fabrication, temperature dependent current-voltage characteristics and photoresponse properties of Au/alpha-PbO2/p-Si/Al heterojunction photodiode
Fabrication and characterization of periodically patterned silica fiber structures for enhanced second-order nonlinearity (vol 23, pg 8113, 2015)
Fabrication and characterization of poly (aniline-co-o-anthranilic acid)/magnetite nanocomposites and their application in wastewater treatment
Fabrication and stabilization of silicon-based photonic crystals with tuned morphology for multi-band optical filtering
Fabrication of highly porous biodegradable biomimetic nanocomposite as advanced bone tissue scaffold
Fabrication and characterization of Antheraea pernyi silk fibroin-blended P(LLA-CL) nanofibrous scaffolds for peripheral nerve tissue engineering
Fabrication, characterization and evaluation of bacterial cellulose-based capsule shells for oral drug delivery
Fabrication and characterization of sodium dodecyl sulphate@ironsilicophosphate nanocomposite: Ion exchange properties and selectivity for binary metal ions
Fabric Softener-Cellulose Nanocrystal Interaction: A Model for Assessing Surfactant Deposition on Cotton
Fabrication of Annealed Gold Nanostructures on Pre-Treated Glow-Discharge Cleaned Glasses and Their Used for Localized Surface Plasmon Resonance (LSPR) and Surface Enhanced Raman Spectroscopy (SERS) Detection of Adsorbed (Bio) molecules
Fabrication Fabrication of novel transparent Co3O4-TiO2 nanowires p-n heterojunction diodes for multiband photodetection applications
Fabrication of a Cu2O/AulTiO(2) composite film for efficient photocatalytic hydrogen production from aqueous solution of methanol and glucose
Fabrication of hand-like CuO nanostructured films by free oxidation of Cu2O nanoporous films in alkaline solution
Fabrication of Core/Shell Nanofibers with Desirable Mechanical and Antibacterial Properties by Pickering Emulsion Electrospinning
Fabrication of a resin-bonded ultra-fine diamond abrasive polishing tool by electrophoretic co-deposition for SiC processing
Fabrication of ZnO/SnO2 hierarchical structures as the composite photoanodes for efficient CdS/CdSe co-sensitized solar cells
Fabrication of bacterial cellulose/polyaniline/single-walled carbon nanotubes membrane for potential application as biosensor
Fabrication of Ti(C,N)-based cermets by in situ carbothermal reduction of MoO3 and subsequent liquid sintering
Fabrication of nanopore and nanoparticle arrays with high aspect ratio AAO masks
Fabrication and electrical characterization of sub-micron diameter through-silicon via for heterogeneous three-dimensional integrated circuits
Fabrication of TiO2 coated porous CoMn2O4 submicrospheres for advanced lithium-ion anodes
Fabrication of visible-light active Fe2O3-GQDs/NF-TiO2 composite film with highly enhanced photoelectrocatalytic performance
Fabrication of amphiphobic softwood and hardwood by treatment with non-fluorinated chemicals
Fabry-Perot cavity locking with phase-locked frequency-synthesized light
Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding
Fabrication and Experimental Analysis of an Axially Laminated Flux-Switching Permanent-Magnet Machine
Fabrication of fluorescence enhancement of quantum dots on a gold colloid formed film for oligonucleotide DNA detection
Fabrication of nanoemulsion-filled alginate hydrogel to control the digestion behavior of hydrophobic nobiletin
Fabrication of Active Surfaces with Metastable Microgel Layers Formed during Breath Figure Templating
Fabrication of Ba0.95M0.05Li2Ti6O14 (M = Ag, Pb, Al) as high performance anode candidates for lithium secondary batteries
Fabrication and characterization of bare Ge-Sb-Se chalcogenide glass fiber taper
Fabric-based alkaline direct formate microfluidic fuel cells
Fabrication of intercalation hybrid of Ni-Al layered double hydroxide with Cu(II) phthalocyanine via exfoliation/restacking route and photocatalytic activity on elimination of Rhodamine 6G
Fabrication and evaluation of thermosensitive chitosan/collagen/alpha, beta-glycerophosphate hydrogels for tissue regeneration
Fabrication, Characterization, and Combustion Performance of Al/HTPB Composite Particles
Fabrication of PDMS microfluidic devices with 3D wax jetting
Fabrication of Ag nanostructures by the systematic control of annealing temperature and duration on GaN (0001) via the solid state dewetting
Fabrication of a Highly Aligned Neural Scaffold via a Table Top Stereolithography 3D Printing and Electrospinning
Fabrication techniques for bioinspired, mechanically-durable, superliquiphobic surfaces for water, oil, and surfactant repellency
Fabrication and Superconductivity of Ba0.6K0.4Fe2As2/Ag Wires and Tapes Using Mechanical Alloyed Precursor
Fabrication of a Mandibular Implant-Supported Overdenture with a New Attachment System: A Review of Current Attachment Systems
Fabrication of lanthanum-doped thorium dioxide by high-energy ball milling and spark plasma sintering
Fabrication and evaluation of regenerated cellulose/nanoparticle fibers from lignocellulosic biomass
Fabrication of Photoreactive Biocomposite Coatings via Electric Field-Assisted Assembly of Cyanobacteria
Fabrication and Characterization of Bi2Te3-Based Chip-Scale Thermoelectric Energy Harvesting Devices
Fabrication and characterization of cell sheets using methylcellulose and PNIPAAm thermoresponsive polymers: A comparison Study
Fabrication of ternary reduced graphene oxide/SnS2/ZnFe2O4 composite for high visible-light photocatalytic activity and stability
Fabrication of sulfonated mesoporous carbon by evaporation induced self-assembly/carbonization approach and its supercapacitive properties
Fabrication and characterization of Fe3O4@SiO2@TiO2@Ho nanostructures as a novel and highly efficient photocatalyst for degradation of organic pollution
Fabrication and properties of poly(tetrafluoroethylene) nanofibres via sea-island spinning
Fabrication of a silver octahedral nanoparticle-containing polycaprolactone nanocomposite for antibacterial bone scaffolds
Fabrication of nanopower generators using thin atomic layer deposited films
Fabricating and controlling PCL electrospun microfibers using filament feeding melt electrospinning technique
Fabrication of self-cleaning super-hydrophobic nickel/graphene hybrid film with improved corrosion resistance on mild steel
Fabrication and Evaluation of Electrospun, 3D-Bioplotted, and Combination of Electrospun/3D-Bioplotted Scaffolds for Tissue Engineering Applications
Fabrication of superoleophobic surfaces by mask-assisted electrospray
Fabrication of Hydroxyapatite Nanofiber via Electrospinning as a Carrier for Protein
Fabrication of Ag nanowires-CdS-Au photocatalyst and its excellent visible light photocatalytic activity: The role of synergetic electron transfer
Fabrication of PCD micro cutting tool and experimental investigation on machining of copper grating
Fabrication of flexible nanoporous nitrogen-doped graphene film for high-performance supercapacitors
Fabrication and in vitro/in vivo evaluation of amorphous andrographolide nanosuspensions stabilized by D-alpha-tocopheryl polyethylene glycol 1000 succinate/sodium lauryl sulfate
Fabrication of controllable mesh layers above SiNx micro pores with ZnO nanostructures
Fabrication of malleable three-dimensional-printed customized bolus using three-dimensional scanner
Fabrication and Printing Characteristics of Near Field Communication Antennas Using Reverse Offset Printing
Fabrication of three-dimensional ordered macroporous spinel CoFe2O4 as efficient bifunctional catalysts for the positive electrode of lithium-oxygen batteries
Fabrication of polystyrene-based multi-well screening platform for micrometer-scale surface topographies promoting stem cell functions
Fabrication of durable and flexible single-walled carbon nanotube transparent conductive films
Fabrication of a snail shell-like structured MnO2@ CoNiO2 composite electrode for high performance supercapacitors
Fabrication and evaluation of a novel wavy Single Chamber Solid Oxide Fuel Cell via in-situ monitoring of curvature evolution
Fabrication of silver nanowires-loaded polydimethylsiloxane film with antimicrobial activities and cell compatibility
Fabrication of enzyme-based coatings on intact multi-walled carbon nanotubes as highly effective electrodes in biofuel cells
Fabrication and characterization of Nylon 6/cellulose nanofibrils melt-spun nanocomposite filaments
Fabrication of PDEAEMA-based pH-responsive mixed micelles for application in controlled doxorubicin release
Fabrication of Holey Graphene: Catalytic Oxidation by Metalloporphyrin-Based Covalent Organic Framework Immobilized on Highly Ordered Pyrolytic Graphite
Fabrication of high-performance p-type thin film transistors using atomic-layer-deposited SnO films
Fabrication of Fe-base superalloy powders with yttrium oxide dispersion by mechanical alloying and chemical route
Fabrication of high-quality GaAs-based photodetector arrays on Si
Fabrication of a nano-scaled tri-gate field effect transistor using the step-down patterning and dummy gate processes
Fabrication of schizophyllan hydrogel via orthogonal thiol-ene photopolymerization
Fabrication of Localized Surface Plasmon Resonance Sensor Based on Optical Fiber and Micro Fluidic Channel
Fabrication and characterization of Pt/Al2O3/Y2O3/In0.53Ga0.47As MOSFETs with low interface trap density
Fabrication of monodisperse nitrogen-doped carbon double-shell hollow nanoparticles for supercapacitors
Fabrication of a silica/titania hollow nanorod and its electroresponsive activity
Fabrication and characterization of thermochemical hydrogen sensor with laminated structure
Fabrication of functional 3D multi-level microstructures on transparent substrates by one step back-side UV photolithography
Fabrication and characterization of hydrothermally grown MgZnO nanorod films for Schottky diode applications
Fabrication of redox and pH dual-responsive magnetic graphene oxide microcapsules via sonochemical method
Fabrication and durable antibacterial properties of 3D porous wet electrospun RCSC/PCL nanofibrous scaffold with silver nanoparticles
Fabrication of Novel Bimodal Titanium Alloy with High-Strength and Large-Ductility by Semi-Solid Sintering
Fabrication of novel metal-free "graphene alloy" for the highly efficient electrocatalytic reduction of H2O2
Fabrication of Electrochemical Immunosensor for Cardiac Biomarker Troponin I Determination and Its Potential for Acute Myocardial Infarction Diagnosis
Fabrication of halogen-free ammonium phosphate with two components via a simple method and its flame retardancy in polypropylene composites
Fabrication of various shaped tungsten micro pin arrays using micro carving technology
Fabrication of polyamide thin film composite reverse osmosis membranes via support-free interfacial polymerization
Fabrication and electrical characterization of Al/DNA-CTMA/p-type a-Si:H photodiode based on DNA-CTMA biomaterial
Fabrication of p-Cu2O/n-Bi-WO3 heterojunction thin films: optical and photoelectrochemical properties
Fabrication of dye-sensitized solar cells using a both-ends-opened TiO2 nanotube/nanoparticle hetero-nanostructure
Fabrication of Multicomponent Multivesicular Peptidoliposomes and Their Directed Cytoplasmic Delivery
Fabrication of tubular SiCf/SiC using different preform architectures by electrophoretic deposition and hot pressing
Fabrication and properties of ZnO nanorods based MSM UV detectors on silicon substrates
Fabrication and Characterization of Multicomponent Polysaccharide/Nanohydroxyapatite Composite Scaffolds
Fabrication of tough SiCf/SiC composites by electrophoretic deposition using a fabric coated with FeO-catalyzed phenolic resin
Fabrication of Ag dispersed ZnO films by molecular precursor method and application in GaInN blue LED
Fabrication of single-phase SnS film by H-2 annealing of amorphous SnSx prepared by atomic layer deposition
Fabrication of a complete, removable dental prosthesis from a digital intraoral impression for a patient with an excessively tight reconstructed lip after oral cancer treatment: A clinical report
Fabrication of 10 mu m-scale conductive Cu patterns by selective laser sintering of Cu complex ink
Fabrication and characterization of antimicrobial surface-modified stainless steel for bio-application
Fabrication of Nb-doped ZnO nanowall structure by RF magnetron sputter for enhanced gas-sensing properties
Fabrication of Mg-Ni-Sn alloys for fast hydrogen generation in seawater
Fabrication of thin diamond membranes by using hot implantation and ion-cut methods
Fabrication of Cu2ZnSnS4 thin film solar cells by annealing of reactively sputtered precursors
Fabricating polyacrylamide microbeads by inverse emulsification to mimic the size and elasticity of living cells
Fabrication of PMMA/zeolite nanofibrous membrane through electrospinning and its adsorption behavior
Fabrication of a novel metal chromite - Carbon nanotube composite for the highly efficient electrocatalytic reduction of hydrogen peroxide
Fabrication of an Economical Arduino-Based Uniaxial Tensile Tester
Fabrication and Characterization of Microbent Inline Microfiber Interferometer for Compact Temperature and Current Sensing Applications
Fabrication and photocatalytic activity of magnetic core@shell ZnFe2O4@Ag3PO4 heterojunction
Fabrication of Efficient Cu2ZnSnS4 Solar Cells by Sputtering Single Stoichiometric Target
Fabrication and evaluation of nanoparticle-assembled BSA microparticles for enhanced liver delivery of glycyrrhetinic acid
Fabrication of dicalcium phosphate dihydrate-coated beta-TCP granules and evaluation of their osteoconductivity using experimental rats
Fabrication of biomimetic bone grafts with multi-material 3D printing
Fabrication yields of serially harvested calf-fed Holstein steers fed zilpaterol hydrochloride
Fabrication of hollow TiO2 nanotubes through atomic layer deposition and MWCNT templates
Fabrication of aluminum alloy foams by using alternative thickening agents via melt route
Fabrication of bit patterned media using templated two-phase growth
Fabrication of large all-PDMS micropatterned waveguides for lab on chip integration using a rapid prototyping technique
Fabrication and nanostructure control of super-hierarchical carbon materials from heterogeneous bottlebrushes
Fabrication of highly monodisperse CeO2@poly(methyl silsesquioxane) microspheres and their application in UV-shielding films
Fabrication of hydrophobic composite films by sol-gel process between POSS -containing fluorinated polyacrylate latexes and colloidal silica particles
Fabrication of a curved microlens array using double gray-scale digital maskless lithography
Fabrication of porous g-C3N4 and supported porous g-C3N4 by a simple precursor pretreatment strategy and their efficient visible-light photocatalytic activity
Fabrication and characterization of SiO2@SiC shell-core nanowire prepared by laser sintering
Fabrication of In-rich AgInS2 nanoplates and nanotubes by a facile low-temperature co-precipitation strategy and their excellent visible-light photocatalytic mineralization performance
Fabrication of highly dispersed platinum-deposited porous g-C3N4 by a simple in situ photoreduction strategy and their excellent visible light photocatalytic activity toward aqueous 4-fluorophenol degradation
Fabrication of Hierarchical Porous Metal-Organic Framework Electrode for Aqueous Asymmetric Supercapacitor
Fabrication of -SO3H functionalized aromatic carbon microspheres directly from waste Camellia oleifera shells and their application on heterogeneous acid catalysis
Fabrication of a Soybean Bowman-Birk Inhibitor (BBI) Nanodelivery Carrier To Improve Bioavailability of Curcumin
Fabrication of homogeneous CIGS thin film by plasma-enhanced Se vapor selenization coupled with etching process
Fabrication and Performance of Tubular Electrolyte-Supporting Direct Carbon Solid Oxide Fuel Cell by Dip Coating Technique
Fabrication of a Ti porous microneedle array by metal injection molding for transdermal drug delivery
Fabrication and characterization of honeycomb beta-tricalcium phosphate scaffolds through an extrusion technique
Fabrication of High Performance Magnetic Rubber from NBR and Fe3O4 via in Situ Compatibilization with Zinc Dimethacrylate
Fabrication and capillary characterization of micro-grooved wicks with reentrant cavity array
Fabrication and mechanical characterization of rare earth permanent magnet SmCo5 films
Fabrication of multipoint side-firing optical fiber by laser micro-ablation
Fabrication and Electromagnetic Characterization of Ultrasmall Diameter REBCO Wires
Fabrication of polypyrrole/vanadium oxide nanotube composite with enhanced electrochemical performance as cathode in rechargeable batteries
Fabrication of a stable visible-light photocatalyst p-CuO/n-AgBr through hole transporting
Fabrication of beta-tricalcium phosphate composite ceramic sphere-based scaffolds with hierarchical pore structure for bone regeneration
Fabrication of Foamed Polyethersulfone-Zeolite Mixed Matrix Membranes for Polymer Electrolyte Membrane Fuel Cell Humidification
Fabrication of self-aligned multilevel nanostructures
Fabrication of a sulfonated aramid-graphene nanoplatelet composite paper and its performance as a supercapacitor electrode
Fabrication of a highly sensitive electrochemiluminescence chlorpromazine sensor using a Ru(bpy)(3)(2+) incorporated carbon quantum dot-gelatin composite film
Fabrication of functionalized porous silica nanoparticles and their controlled release behavior
Fabrication and characterisation of drug-loaded electrospun polymeric nanofibers for controlled release in hernia repair
Fabrication of air-stable, large-area, PCDTBT:PC70BM polymer solar cell modules using a custom built slot-die coater
Fabrication and Demonstration of Mercury Disc-Well Probes for Stripping-Based Cyclic Voltammetry Scanning Electrochemical Microscopy
Fabrication and Numerical Characterization of Infrared Metamaterial Absorbers for Refractometric Biosensors
Fabrication and properties of porous anorthite ceramics with modelling pore structure
Fabrication, Modeling, and Evaluation of a Digital Output Tilt Sensor With Conductive Microspheres
Fabrication and characterization of multiwalled carbon nanotube-loaded interconnected porous nanocomposite scaffolds
Fabrication of resistive switching memory structure using double-sided-anodized porous alumina
Fabrication of 3D-culture platform with sandwich architecture for preserving liver-specific functions of hepatocytes using 3D bioprinter
Fabrication of micromagnetic beads with molecular recognition/electron-transfer peptides for the sensing of ovalbumin
Fabrication and characterization of rectangular strontium iodide scintillator coupled to TSV-MPPC array
Fabrication of Ultrafine WC-Ni Cemented Carbide by Coupled Multi-physical Fields Activated Sintering
Fabrication and Characterization of High-Quality Perovskite Films with Large Crystal Grains
Fabrication and Characterization of Front-Illuminated Dye-Sensitized Solar Cells with Anodic Titanium Oxide Nanotubes
Fabrication of peelable thin films containing crescent-shaped split-ring resonators for three-dimensional optical metamaterials
Fabrication of hydrophilic graphene film by molecular functionalization
Fabrication of Paper-Templated Structures of Noble Metals
Fabrication of Ag2CO3/SrCO3 Rods with Highly Efficient Visible-light Photocatalytic Activity
Fabrication and Thermal Insulating Properties of ITO/PVB Nanocomposites for Energy Saving Glass
Fabrication of colloidal crystals composed of pore-expanded mesoporous silica nanoparticles prepared by a controlled growth method
Fabrication of micro-alginate gel tubes utilizing micro-gelatin fibers
Fabrication of insulated metal substrates with organic ceramic composite films for high thermal conductivity
Fabrication of fluorescent composite hydrogel using in situ synthesis of upconversion nanoparticles
Fabrication of sputtered deposited Cu2SnS3 (CTS) thin film solar cell with power conversion efficiency of 2.39%
Fabrication of 1,4-bis(aminomethyl)benzene and cobalt hydroxide @ graphene oxide for selective detection of dopamine in the presence of ascorbic acid and serotonin
Fabrication of earth abundant Cu2ZnSnSSe4 (CZTSSe) thin film solar cells with cadmium free zinc sulfide (ZnS) buffer layers
Fabrication of a Quasicrystal Electrode at a Low Processing Temperature via Electrohydrodynamic and Transfer Printing for use in Multifunctional Electronics
Fabrication of conducting composite sheets using cost-effective graphite flakes and amorphous styrene acrylonitrile for enhanced thermistor, dielectric, and electromagnetic interference shielding properties
Fabrication of ultra-pure gold nanoparticles capped with dodecanethiol for Schottky-diode chemical gas sensing devices
Fabrication of flexible optoelectronic devices based on MoS2/graphene hybrid patterns by a soft lithographic patterning method
Fabrication of All Glass Bifurcation Microfluidic Chip for Blood Plasma Separation
Fabrication of a MEMS retinal tack and long-term in vivo biocompatibility evaluation for retinal prostheses
Fabrication of the flexible nanogenerator from BTO nanopowders on graphene coated PMMA substrates by sol-gel method
Fabrication of nanostructured MnO2/carbon nanotube composite from 3D precursor complex for high-performance supercapacitor
Fabrication of calix[4]arene-attached mesoporous ammonium molybdophosphate-silica hybrid and its application as an adsorbent for cesium ions
Fabrication Technology and Characteristics of a Magnetic Sensitive Transistor with nc-Si:H/c-Si Heterojunction
Fabrication and Cyclic Oxidation of Y2O3/CeO2-Modified Low Temperature Aluminide Coatings
Fabrication-constrained nanophotonic inverse design
Fabric defect inspection based on lattice segmentation and Gabor filtering
Fabrication of Graphene Aerogels with Heavily Loaded Metallic Nanoparticles
Fabrication and optoelectronic properties of novel p-Si/PPy/n-CuxIn1-xO hybrid heterojunction
Fabrication of flower-like tin/carbon composite microspheres as long-lasting anode materials for lithium ion batteries
Fabrication of Ta2O5 Dispersion-Strengthened Mo-Si-B Alloy by Powder Metallurgical Method
Fabrication of phosphate microcrystalline rice husk based cellulose particles and their electrorheological response
Fabrication of Vertical Silicon Nanotube Array Using Spacer Patterning Technique and Metal-Assisted Chemical Etching
Fabrication of Uniform Nanoporous Oxide Layers on Long Cylindrical Zircaloy Tubes by Anodization Using Multi-Counter Electrodes
Fabrication of a thin and lightweight microwave absorber containing Ni-coated glass fibers by electroless plating
Fabrication of NOA microfluidic devices based on sequential replica molding
Fabrication of solid oxide fuel cells (SOFCs) by solvent-controlled co-tape casting technique
Fabrication of size-controlled linoleic acid particles and evaluation of their in-vitro lipotoxicity
Fabrication and optimization of a clay-bonded SiC flat tubular membrane support for microfiltration applications
Fabrication of micrometer-scale porous gelatin scaffolds for 3D cell culture
Fabrication of nanoporous noble metal thin films by O-2 plasma dealloying
Fabrication of highly porous carbon as sulfur hosts using waste green tea bag powder for lithium-sulfur batteries
Fabrics coated with hot-iron-treated graphene oxide for a self-cleaning and mechanically robust water-oil separation material
Fabrication and Properties of Polyethylene/Cellulose Nanocrystal Composites
Fabrication of high-surface area nanoporous SiOC ceramics using pre-ceramic polymer precursors and a sacrificial template: Precursor effects
Fabrication of dense zirconia-toughened alumina ceramics through a stereolithography-based additive manufacturing
Fabrication of substrate-free double-side emitting flexible device based on silver nanowire-polymer composite electrode
Fabrication of transparent NiTe2 electrodes via magnetron sputtering combined with chemical exfoliation
Fabrication and characterization of La0.65Sr0.3MnO3-delta/(Y2O3)(0.08) (ZrO2)(0.92)/Gd0.1Ce0.9O2-delta tri-composite cathode-supported tubular direct carbon solid oxide fuel cell
Fabrication of IGZO-Based 1T-1R ReRAMs for Flexible and Transparent System-on-Panel (SoP) Application
Fabrication of sensitive enzymatic biosensor based on multi-layered reduced graphene oxide added PtAu nanoparticles-modified hybrid electrode
Fabrication of resistive switching memory based on solution processed PMMA-HfOx blended thin films
Fabrication and characterization of zinc oxide nanoflower-based electrochemical luminescence cells
Fabricating a Maxillary Obturator Using an Intraoral Digital Impression: A Case History Report
Fabrication of electrospun antioxidant nanofibers by rutin-pluronic solid dispersions for enhanced solubility
Fabrication and Analysis of Chemically-Derived Graphene/Pyramidal Si Heterojunction Solar Cells
Fabrication, characterization, and biological evaluation of anti-HER2 indocyanine green-doxorubicin-encapsulated PEG-b-PLGA copolymeric nanoparticles for targeted photochemotherapy of breast cancer cells
Fabrication of nano-cavity patterned sapphire substrate using self-assembly meshed Pt thin film on c-plane sapphire substrate
Fabrication and Characterization of Si Substrate-Free InGaN Light-Emitting Diodes and Their Application in Visible Light Communications
Fabrication of Ag/ZnO/reduced graphene oxide nanocomposite for SERS detection and multiway killing of bacteria
Fabrication of periodic arrays of needle-like Si nanowires on (001)Si and their enhanced field emission characteristics
Fabrication and hydrogen permeation through novel BaZr0.9Y0.1O3-delta - Cu composite ceramic-metallic membranes
Fabrication of In0.75Zn1.5Sn1.0 (IZTO) Thin-Film Transistors Using Solution-Processable Materials and PZT Inkjet-Printing
Fabrication of Nb2O5/C nanocomposites as a high performance anode for lithium ion battery
Fabrication of beta-cyclodextrin/poly (L-glutamic acid) supported magnetic graphene oxide and its adsorption behavior for 17 beta-estradiol
Fabrication of aluminium matrix hybrid composites reinforced with SiC microparticles and TiB2 nanoparticles by powder metallurgy
Fabrication of reduced glutathione functionalized iron oxide nanoparticles for magnetic removal of Pb(II) from wastewater
Fabrication of a novel NiFe2O4/Zn-Al layered double hydroxide intercalated with EDTA composite and its adsorption behavior for Cr(VI) from aqueous solution
Fabrication and characterization of an ion-imprinted membrane via blending poly(methyl methacrylate-co-2-hydroxyethyl methacrylate) with polyvinylidene fluoride for selective adsorption of Ru(III)
Fabrication of GaInPSb quaternary alloy nanowires and its room temperature electrical properties
Fabrication of new conductive gels by tuning the macromolecular architectures using fluorinated acrylates
Fabrication of two-dimensional photonic crystals of tethered polyvinyltetrazole on silicon surfaces for visualization in Cu2+ ion sensing
Fabrication of Embedded Silver Nanowires on Arbitrary Substrates with Enhanced Stability via Chemisorbed Alkanethiolate
Fabrication of graphene from graphite by a thermal assisted vacuum arc discharge system
Fabrication and Cytotoxicity of Fucoidan-Cisplatin Nanoparticles for Macrophage and Tumor Cells
Fabrication of High Array Zinc-Indium Oxide Nanowires and a Nanowire Gas Sensor
Fabrication of a Highly Stable White Light-Emitting Diode With Multiple-Layer Colloidal Quantum Dots
Fabrication and Characterization of High-Sensitivity Underwater Acoustic Multimedia Communication Devices with Thick Composite PZT Films
Fabrication and characterization of ZnO nanowires array electrodes with high photocurrent densities: Effects of the seed layer calcination time
Fabrication of the hierarchical structure photocathode by structuring the surface nanopores on Si nanowires standing on p-Si wafer for the effective photoelectrochemical reduction of Cr(VI) in the aqueous solution
Fabrication of single-layer metallic nano-gratings as polarisers by the combination of nanoimprint lithography and lift-off method
Fabrication of direct Z-scheme Ta3N5-WO2.72 film heterojunction photocatalyst for enhanced hydrogen evolution
Fabrication and composition control of porous ZnO-TiO2 binary oxide thin films via a sparking method
Fabrication of solar cells made with CuInTe2-xSex quantum dots sensitized hierarchical TiO2 sphere having a CuS counter electrode: Dependence on the Te/Se ratio
Fabric phase sorptive extraction as a reliable tool for rapid screening and detection of freshness markers in oranges
Fabric phase sorptive extraction of selected penicillin antibiotic residues from intact milk followed by high performance liquid chromatography with diode array detection
Fabrication of graphitic-C3N4 quantum dots coated silicon nanowire array as a photoelectrode for vigorous degradation of 4-chlorophenol
Fabrication and characterization of conductive poly (3,4-ethylenedioxythiophene) doped with hyaluronic acid/poly (L-lactic acid) composite film for biomedical application
Fabrication and electrocatalytic properties of ferromagnetic nanoporous PtFe by dealloying an amorphous Fe60Pt10B30 alloy
Fabrication of porous magnetic nanocomposites for bone tissue engineering
Fabrication and Luminescent Properties of One-Dimensional Electrospinning LaPO4:Ce/Tb Nanofibers
Fabrication of mesoporous CuO/ZrO2-MCM-41 nanocomposites for photocatalytic reduction of Cr(VI)
Fabrication of cyclo olefin polymer microfluidic devices for trapping and culturing of yeast cells
Fabrication and Application of Photocrosslinked, Nanometer-Scale, Physically Adsorbed Films for Tissue Culture Regeneration
Fabrication of SERS active gold nanorods using benzalkonium chloride, and their application to an immunoassay for potato virus X
Fabrication and characterization of nanoceramic particle Al2O3/B4C composite by mechanochemical approach
Fabrication of starch-g-poly(L-lactic acid) biocomposite films: Effects of the shear-mixing and reactive-extrusion conditions
Fabrication of yttria-doped barium zirconate electrolyte with sub-micrometer thickness via low temperature viscous flow sintering
Fabrication of a robust high-performance FO membrane by optimizing substrate structure and incorporating aquaporin into selective layer
Fabrication and optimisation of a fused filament 3D-printed microfluidic platform
Fabrication and development of high brightness nano-aperture ion source
Fabrication of ultra-smooth and oxide-free molecule-ferromagnetic metal interfaces for applications in molecular electronics under ordinary laboratory conditions
Fabrication of porous bioceramics for bone tissue applications using luffa cylindrical fibres (LCF) as template
Fabrication and characterisation of suspended microstructures of tantalum
Fabrication of bio-inspired hydrophobic self-assembled electrospun nanofiber based hierarchical structures
Fabrication and Physical Properties of Poly(epsilon-Caprolactone)/Modified Graphene Nanocomposite
Fabrication and Wettability Study of WO3 Coated Photocatalytic Membrane for Oil-Water Separation: A Comparative Study with ZnO Coated Membrane
Fabrication and Characterization of High-Performance Polymer-Based Magnetoelectric DC Magnetic Field Sensors Devices
Fabry-Perot cavity based on polymer FBG as refractive index sensor
Fabrication and evaluation of magnetic activated carbon as adsorbent for ultrasonic assisted magnetic solid phase dispersive extraction of bisphenol A from milk prior to high performance liquid chromatographic analysis with ultraviolet detection
Fabricating Gradient Transparency: A Bio-Inspired Digital Design Model and Prototyping Methods
Fabrication of axial p-n junction silicon nanopillar devices and application in photovoltaics
Fabrication of copper-based superhydrophobic self-cleaning antibacterial coating over cotton fabric
Fabrication of Ag/ZnO heterostructure and the role of surface coverage of ZnO microrods by Ag nanoparticles on the photophysical and photocatalytic properties of the metal-semiconductor system
Fabrication, characterization and application of GO/Fe3O4/Pd nanocomposite as a magnetically separable and reusable catalyst for the reduction of organic dyes
Fabrication methods of biopolymeric microgels and microgel-based hydrogels
Fabrication of a Highly Sensitive Single Aligned TiO2 and Gold Nanoparticle Embedded TiO2 Nano-Fiber Gas Sensor
Fabrication method and thermal-frictional behavior of a tube-in-tube helically coiled heat exchanger which contains turbulator
Fabrication of an Organic Light-Emitting Diode from New Host pi Electron Rich Zinc Complex
Fabrication of chemically modified graphene oxide/nano hydroxyapatite composite for adsorption and subsequent photocatalytic degradation of aureomycine hydrochloride
Fabrication of PLGA nanofibers on PDMS micropillars for neuron culture studies
Fabrication of superhydrophobic iron with anti-corrosion property by ultrasound
Fabrication method of large-scale and mechanically durable superhydrophobic silicon rubber/aerogel coating on fibrous substrates
Fabrication and characterization of baghdadite nanostructured scaffolds by space holder method
Fabrication and characterization nanostructured forsterite foams with high compressive strength, desired porosity and suitable bioactivity for biomedical applications
Fabrication and characterization of squeeze cast A413-C-SF composites
Fabrication and characterization of low-cost silica spargers: Toward smaller bubbles
Fabrication of amino acid-based graphenezinc oxide (ZnO) hybrid and its application for poly(ester-amide)/graphene-ZnO nanocomposite synthesis
Fabrication and Characterization of Ni-P-CoNiCrAlY Composite Coatings
Fabrication and evaluation of silica-based ceramic scaffolds for hard tissue engineering applications
Fabrication of semi-conductive natural rubber nanocomposites with low copper nanoparticle contents
Fabrication of porous graphene-Fe3O4 hybrid composites with outstanding microwave absorption performance
Fabrication strategies, sensing modes and analytical applications of ratiometric electrochemical biosensors
Fabrication and characterization of dendrimer-functionalized nano-hydroxyapatite and its application in dentin tubule occlusion
Fabrication of continuous ZrB2 nanofibers derived from boron-containing polymeric precursors
Fabrication of CeO2@MnO2 Core-Shell Nanospheres and Their Application in CO Oxidation
Fabrication of bimetallic Ag/Fe immobilized on modified biochar for removal of carbon tetrachloride
Fabrication and Super Capacitive Performance of Nanoporous Nickel Oxide Film
Fabrication of ultra-low antireflection SiNWs arrays from mc-Si using one step MACE
Fabrication of PEBA/Cu2O mixed-matrix membranes and their application in pyridine recovery from aqueous solution
Fabrication of Nitrogen. doped Carbon Nanoparticles and Application in Detection of Free Chlorine
Fabrication of smart wood with reversible thermoresponsive performance
Fabrication of thermo-responsive polymer functionalized reduced graphene oxide@Fe3O4@Au magnetic nanocomposites for enhanced catalytic applications
Fabrication and photoluminescence properties of color-tunable light emitting lanthanide doped GdVO4 hierarchitectures
Fabrication of Novel CuO Films with Nanoparticles-Aggregated Sphere-Like Clusters on ITO and Their Nonenzymatic Glucose Sensing Applications
Fabrications and electrochemical properties of superlattice (Ce0.8SmO2-delta)/YSZ)(N) electrolyte films
Fabrication of binder-free graphene-SnO2 electrodes by laser introduced conversion of precursors for lithium secondary batteries
Fabricating the AuPdPt Ternary Nanophase Diagram at 800 degrees C To Guide the Exploration of Optimal Catalyst for n-Hexane Oxidation
Fabrication and tribological characterization of laser textured boron cast iron surfaces
Fabrication of a polymer monolithic column via redox system and its application in efficient separation of Chinese herb Rheum palmatum L.
Fabrication of ternary g-C3N4/Al2IO3/ZnO heterojunctions based on cascade electron transfer toward molecular oxygen activation
Fabrication and magnetic properties of Sm-Co/Fe-Co and Sm-Co/Fe-Co-Dy magnetic nanowires
Fabrication and structural regulation of PLLA porous microspheres via phase inversion emulsion and thermally induced phase separation techniques
Fabrication of Diamond/Copper Composites by Hot Isostatic Pressing
Fabrication of Zn-Ti layered double hydroxide by varying cationic ratio of Ti4+ and its application as UV absorbent
Fabrication of the light and perspiration stability enhancer for the reactive azo-dyes based on amino silicon oil containing zinc oxide nanoparticles
Fabrication of curcumin-loaded mesoporous silica incorporated polyvinyl pyrrolidone nanofibers for rapid hemostasis and antibacterial treatment
Fabrication of Nanocomposite Bioelastomer Porous Scaffold Based on Chitin Nanocrystal Supported Emulsion-Freeze-Casting
Fabrication of magnetic field induced structural colored films with tunable colors and its application on security materials
Fabrication, characterization and application of polypropylene macroporous mesh for repairing pelvic floor defects
Fabrication of Ellipsoidal Silica Yolk-Shell Magnetic Structures with Extremely Stable Au Nanoparticles as Highly Reactive and Recoverable Catalysts
Fabrication of highly dispersed Pd nanoparticles supported on reduced graphene oxide for catalytic reduction of 4-nitrophenol
Fabrication of a novel hemin-based monolithic column and its application in separation of protein from complex bio-matrix
Fabrication of CPAN/Ag/AgCl composites and their efficient visible-light photocatalytic activity
Fabrication of the Ti5Si3/Ti Composite Inoculants and Its Refining Mechanism on Pure Titanium
Fabrication, characterization, and luminescence properties of highly uniform PbWO4:Ln(3+) (Ln = Tb, Eu, Dy, and Sm) hierarchical microspheres
Fabrication of a superhydrophobic surface with a hierarchical nanoflake-micropit structure and its anti-icing properties
Fabrication of HA/PEI-functionalized carbon dots for tumor targeting, intracellular imaging and gene delivery
Fabrication of Y2Si2O7 coating and its oxidation protection for C/SiC composites
Fabrication of the reduced preoxidized graphene-based nanofiltration membranes with tunable porosity and good performance
Fabrication of chirped and tilted fiber Bragg gratings and suppression of stimulated Raman scattering in fiber amplifiers
Fabrication and characterization of ZrC foam by melt infiltration
Fabrication and microwave absorption of multiwalled carbon nanotubes anchored with CoS nanoplates
Fabrication and characterization of the monolithic hydrophobic alumina aerogels
Fabrication of N-doped Graphene-Carbon Nanotube Hybrids from Prussian Blue for Lithium-Sulfur Batteries
Fabrication and supercapacitor behavior of phosphomolybdic acid/polyaniline/titanium nitride core-shell nanowire array
Fabrication of TiO2 hierarchical architecture assembled by nanowires with anatase/TiO2(B) phase-junctions for efficient photocatalytic hydrogen production
Fabrication, structures and molecule detection of gold films coated on gamma-Fe2O3@SiO2 ellipsoid ordered arrays
Fabrication of H-TiO2/CdS/Cu2-xS Ternary Heterostructures for Enhanced Photocatalytic Hydrogen Production
Fabrication of isocyanate-based polyimide foam by a postgrafting method
Fabrication and Applied Investigation of a Muscle-Like Linear Actuator Using Lonic Polymer Metal Composites
Fabrication of ZIF-8@SiO2 Micro/Nano Hierarchical Superhydrophobic Surface on AZ31 Magnesium Alloy with Impressive Corrosion Resistance and Abrasion Resistance
Fabrication, interfacial characterization and mechanical properties of continuous Al2O3 ceramic fiber reinforced Ti/Al3Ti metal-intermetallic laminated (CCFR-MIL) composite
Fabrication and Characterization of Mg(OH)(2) Films on AZ31 Magnesium Alloy by Alkali Treatment
Fabrication of curved generatrix workpiece of TA15 titanium alloy by variable thickness tube spinning and flaring process
Fabricating Aptamer-Conjugated PEGylated-MoS2/Cu1.8S Theranostic Nanoplatform for Multiplexed Imaging Diagnosis and Chemo-Photothermal Therapy of Cancer
Fabrication, optical and electrical properties of solvethermal reduced graphene oxide/polyimide composites by in situ polymerization
Fabrication of Multiple Layered Scaffolds with Controlled Porous Micro-Architecture
Fabrication and characterization of chitosan/OGP coated porous poly(epsilon-caprolactone) scaffold for bone tissue engineering
Fabrics Aeolotropy Hydroscopic Performances Testing Method Using Resistance Theory
Fabrication and characterization of electrospun nanofibers composed of decellularized meniscus extracellular matrix and polycaprolactone for meniscus tissue engineering
Fabrication of metallic glass micro grooves by thermoplastic forming
Fabrication of low-loss, small-core exposed core microstructured optical fibers
Fabrication of PEDOT films via a facile method and their application in Pt-free dye-sensitized solar cells
Fabrication of a novel Z-scheme g-C3N4/Bi4O7 heterojunction photocatalyst with enhanced visible light-driven activity toward organic pollutants
Fabrication and field emission properties of ZnO/Al2O3 nanocomposite tetrapods
Fabrication of beta-carotene nanoemulsion-based delivery systems using dual-channel microfluidization: Physical and chemical stability
Fabrication of graphene/natural rubber nanocomposites with high dynamic properties through convenient mechanical mixing
Fabrication and characterization of hydrogels formed from designer coiled-coil fibril-forming peptides
Fabrication and characterization of HMX@TPEE energetic microspheres with reduced sensitivity and superior toughness properties
Fabrication of concave microlens arrays by local fictive temperature modification of fused silica
Fabrication of Lead Borate Single Crystal Nanosheets for Attenuating Both Neutron and Gamma Radiations
Fabrication Techniques of Spherical-Shaped Metal Powders Suitable for Additive Manufacturing
Fabrication, electrochemical and electrocatalytic properties of carbon nanotube@nano-SiO(2)BenV/phosphomolybdic acid polynary nanocomposite materials
Fabrication of Lasing Whispering Gallery Mode Microresonators by Controllable Injection Method
Fabrication of p-Type ZnO: N Films by Oxidizing Zn3N2 Films in Oxygen Plasma at Low Temperature
Fabrication of user-defined copper conductive patterns onto paper substrate for flexible electronics by combining wax patterning with electroless plating
Fabrication and mechanism of cement-based waterproof material using silicate tailings from reverse flotation
Fabricating phase-shifted fiber Bragg grating by simple postprocessing using femtosecond laser
Fabrication and properties of polyvinyl alcohol/starch blend films: Effect of composition and humidity
Fabrication of bioinspired structured glass-ceramics with enhanced fracture toughness
Fabrication of Ag/AgBr/Ga2O3 heterojunction composite with efficient photocatalytic activity
Fabrication and characterization of novel shapestabilized stearic acid composite phase change materials with tannic-acid-templated mesoporous silica nanoparticles for thermal energy storage
Fabrication of the ZnO/NiO p-n junction foam for the enhanced sensing performance
Fabrication of SiO2 nanoparticle-polyelectrolyte nanocontainers with preloaded benzotriazole inhibitors and their self-releasing mechanism and kinetics
Fabrication of a polymeric composite incorporating metal-organic framework nanosheets for solid-phase microextraction of polycyclic aromatic hydrocarbons from water samples
Fabrication of hydrophobic cotton fabrics inspired by polyphenol chemistry
Fabrication and Characterization of Electrospun PCL/Antheraea Pernyi Silk Fibroin Nanofibrous Scaffolds
Fabricating high performance conventional and inverted polymer solar cells by spray coating in air
Fabrication of mesoporous TiO2 with high crystallinity by a fast sol-gel method
Fabrication tolerant chalcogenide mid-infrared multimode interference coupler design with applications for Bracewell nulling interferometry
Fabrication and Characterization of Polyglycerol Fatty Acid Esters/Polyethylene Antifogging Film
Fabrication of Cu-Doped Bi2Te3 Nanoplates and Their Thermoelectric Properties
Fabrication of Stretchable Nanocomposites with High Energy Density and Low Loss from Cross-Linked PVDF Filled with Poly(dopamine) Encapsulated BaTiO3
Fabrication of Low Dislocation Density, Single-Crystalline Diamond via Two-Step Epitaxial Lateral Overgrowth
Fabrication and characterization of a new-style structure capillary channel in reaction bonded silicon carbide composites
Fabrication and characterization of poly (bisphenol A borate) with high thermal stability
Fabrication and Photocatalytic Properties of Flexible BiOI/SiO2 Hybrid Membrane by Electrospinning Method
Fabrication and application of indium-tin-oxide nanowire networks by polystyrene-assisted growth
Fabrication of recyclable and durable superhydrophobic materials with wear/corrosion-resistance properties from kaolin and polyvinylchloride
Fabrication of uniform porosity, all-porous-silicon microstructures and stress/stress gradient control
Fabrication and enhanced electrocatalytic activity of TiO2 nanotubes based three-dimensionally macroporous SnO2 with mesoporous walls
Fabrication and characterization of p-type In-N codoped ZnMgO films
Fabrication and properties of the W-30wt%Cu gradient composite with W@WC core-shell structure
Fabrication and enhanced characterization of copper powder filled copper calcium titanate/poly(vinylidene difluoride) composite
Fabrication of C/SiO1.5 nanospheres by emulsion polymerization of twin monomer for high-performance lithium-ion battery anode
Fabrication of three-dimensional micro-nanofiber structures by a novel solution blow spinning device
Fabrication of 3D structures from graphene-based biocomposites
Fabrication and photoluminescence performance of SiC nanoparticles with different structure
Fabrication of grain-oriented KSr2Nb5O15 ceramics by a brush technique
Fabrication of a nano-sized ZSM-5 zeolite with intercrystalline mesopores for conversion of methanol to gasoline
Fabrication and tribological properties of WC-TiB2 composite cutting tool materials under dry sliding condition
Fabrication and application of mesoporous TiO2 film coated on Al wire by sol-gel method with EISA
Fabrication and Enhanced Photoactivities of Plasmonic Ag/TiO2 Nano-Flower Films
Fabrication of Pr-doped SnO2 spherical core-shell nanostructure with wrinkly shell and the gas sensing properties
Fabrication of hollow mesoporous SiO2-BiOCl@PANI@Pd photocatalysts to improve the photocatalytic performance under visible light
Fabrication of barium-strontium aluminosilicate coatings on C/SiC composites via laser cladding
Fabrication and performance of a C/C composite using a needled non-woven carbon fiber felt as a preform
Fabrication of large-area polymer microfilter membranes and their application for particle and cell enrichment
Fabrication and adsorption properties of hybrid fly ash composites
Fabrication and Corrosion Resistance of the Ti-rich Alloyed Layer on the Surface of NiTi Alloys
Fabrication of novel flower-like Co3O4 structures assembled by single-crystalline porous nanosheets for enhanced xylene sensing properties
Fabrication of paper devices via laser-heating-wax-printing for high-tech enzyme-linked immunosorbent assays with low-tech pen-type pH meter readout
Fabrication and Applications of Polymeric Janus Particles
Fabrication, microstructures, luminescent and magnetic properties of LiFe(WO4)(2) microcrystals
Fabrication and microwave absorption performances of hollow-structure Fe3O4/PANI microspheres
Fabrication of NiO@Co3O4 core/shell nanofibres for high-performance supercapacitors
Fabrication and tribological properties of self-assembled monolayer of n-alkyltrimethoxysilane on silicon: Effect of SAM alkyl chain length
Fabrication of Amino Functionalized Magnetic Expanded Graphite Nanohybrids for Application in Removal of Ag(I) from Aqueous Solution
Fabrication of hydrophobic/hydrophilic composite hollow fibers for DCMD: Influence of dope formulation and external coagulant
Fabrication of Sc2O3-magneli phase titanium composite electrode and its application in efficient electrocatalytic degradation of methyl orange
Fabrication of porous copper surfaces by laser micromilling and their wetting properties
Fabrication of high-k poly(vinylidene fluoride)/Nylon 6/carbon nanotube nanocomposites through selective localization of carbon nanotubes in blends
Fabrication of Highly Porous Nonspherical Particles Using Stop-Flow Lithography and the Study of Their Optical Properties
Fabrication and Performance of a Polyethersulfone Nanofiltration Membrane Impregnated With a Mesoporous Silica-Poly(1-vinylpyrrolidone) Nanocomposite
Fabrication of high strength graphene/regenerated silk fibroin composite fibers by wet spinning
Fabrication of hollow carbon nanospheres introduced with Fe and N species immobilized palladium nanoparticles as catalysts for the semihydrogenation of phenylacetylene under mild reaction conditions
Fabrication of superhydrophobic nanofiber fabric with hierarchical nanofiber structure
Fabrication and characterization of WO3 thin films on silicon surface by thermal evaporation
Fabrication of SiO2@silicalite-1 and its use as a catalyst support
Fabrication of Antibacterial and Antiwear Hydroxyapatite Coatings via In Situ Chitosan-Mediated Pulse Electrochemical Deposition
Fabrication of a super-amphiphobic aluminium alloy surface via wire electrical discharge machining and chemical etching technology
Fabrication and characterization of nanowalls CdS/dye sensitized solar cells
Fabrication and Characterization of Solar Cells Based on Silicon Nanowire Homojunctions
Fabricating protective epoxy-silica/CeO2 films for steel: Correlating physical barrier properties with material content
Fabrication of carbon nanotube-loaded TiO2@AgI and its excellent performance in visible-light photocatalysis
Fabrication of Superhydrophobic Cellulose/Chitosan Composite Aerogel for Oil/Water Separation
Fabrication of Porous Ag/TiO2/Au Coatings with Excellent Multipactor Suppression
Fabrication and evaluation of bulk nanostructured cobalt intended for dental and orthopedic implants
Fabrication of nanostructured Li2TiO3 ceramic pebbles as tritium breeders using powder particles synthesised via a CTAB-assisted method
Fabrication of TiO2 components by Fields Activated Sintering Technology (FAST)
Fabrication of anisotropic NdCeFeB hybrid magnets by hot-deformation: microstructures and magnetic properties
Fabrication of Eu-doped Gd(OH)(3) Nanorods with Enhanced Magnetic- Resonance and Luminescence Imaging
Fabrication of nano-hydroxyapatite/chitosan membrane with asymmetric structure and its applications in guided bone regeneration
Fabrication of rod-like Ti4O7 with high conductivity by molten salt synthesis
Fabrication of a nitrogen-doping carbon-based catalyst towards oxygen reduction reaction using ammonia as a single nitrogen source
Fabrication of plasmonic Au-Pd alloy nanoparticles for photocatalytic Suzuki-Miyaura reactions under ambient conditions
Fabrication of homogeneously Cu2+/La3+-doped CeO2 nanosheets and their application in CO oxidation
Fabrication of few-layer molybdenum disulfide/reduced graphene oxide hybrids with enhanced lithium storage performance through a supramolecule-mediated hydrothermal route
Fabrication of Synthetic Mesenchymal Stem Cells for the Treatment of Acute Myocardial Infarction in Mice
Fabrication of pure phase calcium carbonate hardened bodies as a means of creating novel geomimetic ceramics
Fabrication and flow-sensor application of flexible thermal MEMS device based on Cu on polyimide substrate
Fabrication and Analysis of Three-Layer All-Silicon Interference Optical Filter with Sub-Wavelength Structure toward High Performance Terahertz Optics
Fabrication of thin-film thermoelectric generators with ball lenses for conversion of near-infrared solar light
Fabrication and characterization of a tubular ceramic fuel cell based on BaZr0.1Ce0.7Y0.1Yb0.1O3-delta proton conducting electrolyte
Fabrication and electrokinetic motion of electrically anisotropic Janus droplets in microchannels
Fabrication of a Highly Stable Superhydrophobic Surface with Dual-Scale Structure and Its Antifrosting Properties
Fabrication of composite nanofiltration membranes by dopamine-assisted poly(ethylene imine) deposition and cross-linking
Fabrication of MoS2/reduced graphene oxide hybrid as an earth-abundant hydrogen evolution electrocatalyst
Fabrication of amine-functionalized acrylic monoliths via thermally induced phase separation and their application for separation media
Fabrication of tensile-strained single-crystalline GeSn on transparent substrate by nucleation-controlled liquid-phase crystallization
Fabrication of Photocatalytic Paper Using TiO2 Nanoparticles Confined in Hollow Silica Capsules
Fabrication of {CH(NH2)(2)}(1-x)CsxPbI3 Perovskite Thin Films by Two-step Method and Its Application to Thin Film Solar Cells
Fabrication of NPB/Alq(3) small-molecule multilayer structures with suppressed interface mixing by multi-jet mode electrospray deposition
Fabrication of InGaN/GaN MQW nano-LEDs by hydrogen-environment anisotropic thermal etching
Fabrication of a SiO2 optical window for controlling light transmission
Fabrication of Metamaterial Absorbers in THz Region and Evaluation of the Absorption Characteristics
Fabrication of a magnetic-tunnel-junction-based nonvolatile logic-in-memory LSI with content-aware write error masking scheme achieving 92% storage capacity and 79% power reduction
Fabrication and magnetic control of Y3Fe5O12 cantilevers
Fabrication, characterization and controlled release properties of oat protein gels with percolating structure induced by cold gelation
Fabricating devices with improved adhesion between PDMS and gold-patterned glass
Fabrication of Linear Array and Top-Orthogonal-to-Bottom Electrode CMUT Arrays With a Sacrificial Release Process
Fabrication of BaTiO3/Ni composite particles and their electro-magneto responsive properties
Fabrication of micropatterns on polypropylene films via plasma pretreatment combined with UV-initiated graft polymerization
Fabricating Triple-Sensitive Polymer Nano-Aggregates via an Aqueous Iminoboronate Multicomponent Reaction
Fabrication of biodegradable composite microneedles based on calcium sulfate and gelatin for transdermal delivery of insulin
Fabrication of nanocrystalline anatase TiO2 in a graphene network as a bamboo coating material with enhanced photocatalytic activity and fire resistance
Fabrication of TiO2-GO/PI Mixed Matrix Membranes by In-situ Polymerization and Their Gas Permeation Property
Fabrication of 3D Bi2O3-BiOI heterojunction by a simple dipping method: Highly enhanced visible-light photoelectrocatalytic activity
Fabrication of new composite membrane filled with UiO-66 nanoparticles and its application to nanofiltration
Fabrication of hydrazine sensor based on silica-coated Fe2O3 magnetic nanoparticles prepared by a rapid microwave irradiation method
Fabrication of assembled membrane from malonate-functionalized graphene and evaluation of its permeation performance
Fabrication of MoS2 thin films on oxide-dielectric-covered substrates by chemical solution process
Fabrication and Characterization of Epitaxial Films of Superconductor NbN and Highly Spin- Polarized Heusler Alloy CO2Fe0.4Mn0.6Si
Fabrication and characterization of nano porous lattice biosensor using anodic aluminum oxide substrate
Fabrication of isolated platinum nanowire gratings and nanoparticles on silica substrate by femtosecond laser irradiation
Fabrication of luminescence-sensing films based on surface precipitation reaction of Mg-Al-Eu LDHs
Fabrication of germanium nanodisk array by neutral beam etching with protein as etching mask
Fabrication of Superhydrophobic Surfaces with Controllable Electrical Conductivity and Water Adhesion
Fabrication of TiO2/Ag2O heterostructure with enhanced photocatalytic and antibacterial activities under visible light irradiation
Fabrication and assembly of two-dimensional TiO2/WO3 center dot H2O heterostructures with type II band alignment for enhanced photocatalytic performance
Fabrication Techniques for Thermoelectric Devices Based on Nanostructured Silicon
Fabrication of copper-coated glass fabric composites through electroless plating process
Fabrication and mechanical properties of bulk metallic glass matrix composites by in-situ dealloying method
Fabrication of silica-coated gold nanorods and investigation of their property of photothermal conversion
Fabrication of three-dimensional CuInS2 solar-cell structure via supercritical fluid processing
Fabrication of 3D graphene foam for a highly conducting electrode
Fabrication and optical characterization of CdSe nanoparticles liganded with pi-conjugated organic molecules of Rhodamine dyes
Fabrication, characterization, and fluorine-plasma exposure behavior of dense yttrium oxyfluoride ceramics
Fabrication of full-color GaN-based light-emitting diodes on nearly lattice-matched flexible metal foils
Fabrication of Co3O4 nanoparticles in thin porous carbon shells from metal-organic frameworks for enhanced electrochemical performance
Fabrication and Interfacial Properties of Polymer Brush Gradients by Surface-Initiated Cu(0)-Mediated Controlled Radical Polymerization
Fabrication and in situ compression testing of Mg micropillars with a nontrivial cross section: Influence of micropillar geometry on mechanical properties
Fabrication of wide angle structural color with the patchy multi-bilayered films
Fabrication of surface skinless membranes of epoxy resin-based mesoporous monoliths toward advanced separators for lithium ion batteries
Fabrication of porous Pt-doping heterojunctions by using bimetallic MOF template for photocatalytic hydrogen generation
Fabricating Three-Dimensional Periodic Micro Patterns on Photo-Resists Using Laser Interference Lithography
Fabrication of Transparent Lemon Oil Loaded Microemulsions by Phase Inversion Temperature (PIT) Method: Effect of Oil Phase Composition and Stability after Dilution
Fabrication of a true-Gaussian-shaped quartz crystal resonator
Fabrication of hollow silica-nickel particles for the hydrolytic dehydrogenation of ammonia borane using rape pollen templates (vol 41, pg 992, 2017)
Fabrication of hollow silica-nickel particles for the hydrolytic dehydrogenation of ammonia borane using rape pollen templates
Fabrication of three-dimensional parylene HT diaphragms using D-RIE with a Si substrate
Fabrication of Cu2O/TiO2 nanotube arrays with enhanced visible-light photoelectrocatalytic activity
Fabrication and characterization of a self-crosslinking chitosan hydrogel under mild conditions without the use of strong bases
Fabrication and characterization of structural/dielectric three-phase composite: Continuous basalt fiber reinforced epoxy resin modified with graphene nanoplates
Fabrication of highly ordered nanotube layer on Zr-based bulk metallic glass for biomedical uses
Fabrication of Silicone Rubber Foam with Tailored Porous Structures by Supercritical CO2
Fabrication of Three-Dimensional Nanoporous Nickel by Dealloying Mg-Ni-Y Metallic Glasses in Citric Acid Solutions for High-Performance Energy Storage
Fabrication of a dye-sensitized solar cell containing a noncarboxylated spiropyran-derived photomerocyanine with cyclodextrin
Fabrication and Modeling of Integrated Micro-Thermoelectric Cooler by Template-Assisted Electrochemical Deposition
Fabrication and Microstructure Tuning of a Pyrimidine-Bridged Organoalkoxysilane Membrane for CO2 Separation
Fabrication of TiO2-Ag nanocomposite thin films via one-step gas-phase deposition
Fabrication and Characterization of Non-Woven Carbon Nanofibers as Functional Interlayers for Rechargeable Lithium Sulfur Battery
Fabrication of transparent superhydrophobic glass with fibered-silica network
Fabrication of nanoporous AuPt nanoparticles modified indium tin oxide electrode and their electrocatalytic effect
Fabrication and properties of machinable porous ZrO2f/Y2SiO5 nanocomposites prepared by a simple method
Fabrication and electrical characteristics of Li-N co-doped InZnO TFTs prepared by radio frequency magnetron
Fabrication and electrical transport properties of embedded graphite microwires in a diamond matrix
Fabry-Perot Resonances in a Graphene/hBN Moire Superlattice
Fabrication and Excitation of a Model Magnet Using Coated Conductors for Spiral Sector FFAG Accelerators
Fabrication of a Si lever structure made by double-angled etching with reactive gas cluster injection
Fabrication of calcite blocks from gypsum blocks by compositional transformation based on dissolution-precipitation reactions in sodium carbonate solution
Fabrication of high coverage MASnI(3) perovskite films for stable, planar heterojunction solar cells
Fabrication of multi-shelled hollow Mg-modified CaCO3 microspheres and their improved CO2 adsorption performance
Fabrication of Au nanoparticle/TiO2 hybrid films for photoelectrocatalytic degradation of methyl orange
Fabrication of ZSM-11(5) particles with nanorods oriented-stacking morphology by an in-situ feeding method
Fabrication of thermal sensitive folic acid based supramolecular hybrid gels for injectable drug release gels
Fabrication of a super-hydrophobic polyvinylidene fluoride hollow fiber membrane using a particle coating process
Fabrication of stable Ir-ZnO/Zn superhydrophobic surface on zinc substrate for its properties and application
Fabrication of durable superhydrophobic electrodeposited tin surfaces with tremella-like structure on copper substrate
Fabricating an enhanced sterilization chitosan-based flocculants: Synthesis, characterization, evaluation of sterilization and flocculation
Fabrication of Ag2O/TiO2 with enhanced photocatalytic performances for dye pollutants degradation by a pH-induced method
Fabrication of PMMA Membranes with Nano-Pillars Array Using Template/Spin-Coating Method
Fabrication and catalytic behavior of hierarchically-structured nylon 6 nanofiber membrane decorated with silver nanoparticles
Fabrication of polysulfone membrane via thermally induced phase separation process
Fabrication of microfluidic devices: improvement of surface quality of CO2 laser machined poly( methylmethacrylate) polymer
Fabrication of novel visible-light-driven AgI/g-C3N4 composites with enhanced visible-light photocatalytic activity for diclofenac degradation
Fabrication of broadband absorbing coatings for amplified spontaneous emission suppression
Fabrication and Performance of Pixelated gamma-CuI Scintillation Conversion Screens Based on Oxidized Silicon Micropore Arrays
Fabrication of TiO2/ZnS nanocomposites for solar energy mediated photocatalytic application
Fabrication of TiO2/ZnO nanocomposites for solar energy driven photocatalysis
Fabrication of Aromatic Polyimide Membrane to Study the Pervaporative Separation of Phenanthrene/n-tetradecane Mixtures (Model Diesel) and Process Optimization Using Response Surface Methodology
Fabrication of a Cu2O/AulTiO(2) composite film for efficient photocatalytic hydrogen production from aqueous solution of methanol and glucose
Fabrication and Wear Resistance of TiO2/Al2O3 Coatings by Micro-arc Oxidation
Fabricating hydrophobic nanoparticles within mesoporous channel of silica for efficient TSNA removal
Fabrication and mechanical properties of composite based on beta-chitin and polyacrylic acid
Fabricating the Robust g-C3N4 Nanosheets/Carbons/NiS Multiple Heterojunctions for Enhanced Photocatalytic H-2 Generation: An Insight into the Trifunctional Roles of Nanocarbons
Fabrication of Surface-Active Antioxidant Food Biopolymers: Conjugation of Catechin Polymers to Egg White Proteins
Fabrication challenges and perspectives on the use of carbon-electrode dielectrophoresis in sample preparation
Fabrication and characterization of nanocomposite film made from a jackfruit filum polysaccharide incorporating TiO2 nanoparticles by photocatalysis
Fabrication and characterization of three-dimensional silver nanodomes: Application for alkaline water electrolysis
Fabrication and characterization of microsieve electrode array (mu SEA) enabling cell positioning on 3D electrodes
Fabrication and applications of multi-layer graphene stack on transparent polymer
Fabrication of superhydrophobic filter paper and foam for oil-water separation based on silica nanoparticles from sodium silicate
Fabrication and study of double sintered TiNi-based porous alloys
Fabrication of Ag-exchanged zeolite/chitosan composites and effects of plasma treatment
Fabrication and characterization of titanium-nickel-zirconia matrix composites prepared by spark plasma sintering
Fabrication and Assessment of ZnO Modified Polyethersulfone Membranes for Fouling Reduction of Bovine Serum Albumin
Fabrication of highly sensitive gold nanourchins based electrochemical sensor for nanomolar determination of primaquine
Fabrication of terahertz metamaterials using electrohydrodynamic jet printing for sensitive detection of yeast
Fabrication of ultra-high sensitive and selective CH4 room temperature gas sensing of TiO2 nanorods: Detailed study on the annealing temperature
Fabrication and application of hollow ZnO nanospheres in antimicrobial casein-based coatings
Fabrication of antibacterial casein-based ZnO nanocomposite for flexible coatings
Fabrication of superhydrophobic textiles with high water pressure resistance
Fabrication of robust, superhydrophobic, electrically conductive and UV-blocking fabrics via layer-by-layer assembly of carbon nanotubes
Fabrication of a non-enzymatic glucose sensor field-effect transistor based on vertically-oriented ZnO nanorods modified with Fe2O3
Fabrication of Functional Polyurethane/Rare Earth Nanocomposite Membranes by Electrospinning and Its VOCs Absorption Capacity from Air
Fabrication of Arothron stellatus skin collagen film incorporated with Coccinia grandis as a durable wound construct
Fabrication and characterization of silver nanoparticle-incorporated bilayer electrospun-melt-blown micro/nanofibrous membrane
Fabrication of photochromic hydrogels using an interpenetrating chitosan network
Fabrication and characterization of micro dissolved oxygen sensor-activated on demand using electrolysis
Fabrication of Nanofibrous Polyimide Non-wovens by Isothermal Heat Treatment from Electrospun Poly(amic acid) Nanofiber Mats
Fabrication of a Developed Potentiometric Ibuprofen Electrode Based on New Functionalized beta-Cyclodextrins for Pharmaceuticals Determination
Fabrication and Lithium Storage Performance of ZnO-C Three Dimensional Network Coatings
Fabrication of two-layer dissolving polyvinylpyrrolidone microneedles with different molecular weights for in vivo insulin transdermal delivery
Fabrication and characterization of polycaprolactone and tricalcium phosphate composites for tissue engineering applications
Fabrication of novel rhamnolipid-oxygen-releasing beads for bioremediation of groundwater containing high concentrations of BTEX
Fabrication and Characterization of High-Performance Diglycidyl Ether of Bisphenol-A/Tetrabromobisphenol-A Blend Reinforced with Multiwalled Carbon Nanotube Composite
Fabrication of Y2O3 doped BaZrO3 coating on Al2O3 applied to solidification of titanium alloy
Fabrication of injectable hydrogels based on poly(L-glutamic acid) and chitosan
Fabrication of nanoscale NiO/Ni heterostructures as electrocatalysts for efficient methanol oxidation
Fabrication of asymmetric long-period gratings in polarization-maintaining fiber with a CO2 laser
Fabrication, characterization and in vitro evaluation of silibinin nanoparticles: an attempt to enhance its oral bioavailability
Fabrication and Characterization of P3HT:MR:PCBM Blend Based Organic Phototransistor
Fabrication and characterization of magnesium matrix composite processed by combination of friction stir processing and high-energy ball milling
Fabrication of Polymeric Antireflection Film Manufactured by Anodic Aluminum Oxide Template on Dye-Sensitized Solar Cells
Fabrication and investigation of the bionic curved visual microlens array films
Fabrication of Fe3O4 nanotube arrays for high-performance non-enzymatic detection of glucose
Fabrication of high temperature processable CNT array for X-ray generation by micromachining
Fabrication of YGBCO/STO/YGBCO Trilayer Structure on IBAD-MgO Tape and In-Field Superconducting Property
Fabrication of Ag nanoparticle/ZnO thin films using dual-plasma-enhanced metal-organic chemical vapor deposition (DPEMOCVD) system incorporated with photoreduction method and its application
Fabrication of CuO-decorated reduced graphene oxide nanosheets for supercapacitor applications
Fabrication of through-silicon via arrays by photo-assisted electrochemical etching and supercritical electroplating
Fabrication of Bi-Sb-Te Thermoelectric by Cold-Pressed Sintering for Motorcycle Exhaust
Fabrication of a Microlens Array with Controlled Curvature by Thermally Curving Photosensitive Gel Film beneath Microholes
Fabrication and Characterization of Reactively Sputtered AlInGaN Films with a Cermet Target Containing 5% Al and 7.5% In
Fabrication of Biomimetic Gecko Toe Pads and Their Characterization
Fabrication of SnO2-TiO2 core-shell nanopillar-array films for enhanced photocatalytic activity
Fabrication and Characteristic Analysis for Enzymatic Glucose Biosensor Modified by Graphene Oxide and Magnetic Beads Based on Microfluidic Framework
Fabrication of sub-100 nm h-PDMS tip array for tip-based nanolithography by electrochemical machining
Fabrication of Protein Films from Genetically Engineered Silk-Elastin-Like Proteins by Controlled Cross-Linking
Fabrication, characterisation and modelling of uniform and gradient auxetic foam sheets
Fabrication, characterization and gas sensing properties of gold nanoparticle and calixarene multilayers
Fabrication and characterization of ITO thin film resistance temperature detector
Fabricating a Shell-Core Delayed Release Tablet Using Dual FDM 3D Printing for Patient-Centred Therapy
Fabrication and optical behavior of graded-index, moth-eye antireflective structures in CdTe
Fabrication of cell-compatible hyaluronan hydrogels with a wide range of biophysical properties through high tyramine functionalization
Fabrication of PEDOT Nanocone Arrays with Electrochemically Modulated Broadband Antireflective Properties
Fabrication and Analysis of Thin Film CdTe/CdS-Based Avalanche Photodiodes
Fabrication of Vacuum-Sealed Capacitive Micromachined Ultrasonic Transducers With Through-Glass-Via Interconnects Using Anodic Bonding
Fabrication and characterization of bactericidal thiol-chitosan and chitosan iodoacetamide nanofibres
Fabrication of Scanning Electrochemical Microscopy-Atomic Force Microscopy Probes to Image Surface Topography and Reactivity at the Nanoscale
Fabrication of X-Ray Microcalorimeter Focal Planes Composed of Two Distinct Pixel Types
Fabrication of TiO2 Nanosheet Aarrays/Graphene/Cu2O Composite Structure for Enhanced Photocatalytic Activities
Fabrication of electrophoretically deposited, self-assembled three-dimensional porous Al/CuO nanothermite films for highly enhanced energy output
Fabrication and Characterization of a PDLSCs/BMP-2-PLGA-NP/RADA Peptide Hydrogel Composite for Bone Repair
Fabrication of a Ternary TiO2-CuO/Graphite Oxide Composite and Its Efficient Application in Photocatalysis
Fabrication of carboxymethyl chitosan-hemicellulose resin for adsorptive removal of heavy metals from wastewater
Fabrication of RGO-MnNb2O6 Photocatalyst with Enhanced Visible Light Efficiency in Photocatalytic Degradation of Methylene Blue
Fabrication of novel ZnFe2O4/BiOI nanocomposites and its efficient photocatalytic activity under visible-light irradiation
Fabrication of submicrosized imprinted spheres attached polypropylene membrane using "two-dimensional'' molecular imprinting method for targeted separation
Fabrication of free-standing fluorescent mesoporous silica films for detection of nitrobenzene
Fabrication of an all solid Z-scheme photocatalyst g-C3N4/GO/AgBr with enhanced visible light photocatalytic activity
Fabrication of N-doped Reduced Graphene Oxide/Ag3PO4 Nanocomposite with Excellent Photocatalytic Activity for the Degradation of Organic Pollutants
Fabrication of novel Z-scheme InVO4/CdS heterojunctions with efficiently enhanced visible light photocatalytic activity
Fabrication of a high-performance film based borosilicate glass/Al2O3 ceramics for LTCC application
Fabrication of fluorescent carbon dots-linked isophorone diisocyanate and beta-cyclodextrin for detection of chromium ions
Fabrication of p-type kesterite Ag2ZnSnS4 thin films with a high hole mobility
Fabrication and tribological properties of nanogrids on CVD-grown graphene
Fabrication of Superhydrophobic Film of Co(OH)(2)CO3 Nanowires and Its Anticorrosion
Fabrication and properties of porous alumina ceramics with three different pore sizes
Fabrication of novel porous membrane from biobased water-soluble polymer (hydroxypropylcellulose)
Fabrication of nanotweezers and their remote actuation by magnetic fields
Fabrication and Assembly of the Nb3Sn Dipole Magnet FRESCA2
Fabrication and Characterization of Porous Cellulose Acetate Films by Breath Figure Incorporated with Capric Acid as Form-stable Phase Change Materials for Storing/Retrieving Thermal Energy
Fabrication of valine-functionalized graphene quantum dots and its use as a novel optical probe for sensitive and selective detection of Hg2+
Fabrication of graphene sheets/polyaniline nanofibers composite for enhanced supercapacitor properties
Fabrication of durable fluorine-free superhydrophobic polyethersulfone (PES) composite coating enhanced by assembled MMT-SiO2 nanoparticles
Fabrication of versatile CS/NaYF4:Yb/Tm hybrid microspheres by Pickering emulsion system and their upconversion luminescence properties
Fabrication and evaluation of chitosan/NaYF4:Yb3+/Tm3+ upconversion nanoparticles composite beads based on the gelling of Pickering emulsion droplets
Fabrication of a novel PbO2 electrode with a graphene nanosheet interlayer for electrochemical oxidation of 2-chlorophenol
Fabrication of mesoporous gold networks@MnO2 for high-performance supercapacitors
Fabrication of 3D lawn-shaped N-doped porous carbon matrix/polyaniline nanocomposite as the electrode material for supercapacitors
Fabrication of 3D Spongia-shaped polyaniline/MoS2 nanospheres composite assisted by polyvinylpyrrolidone (PVP) for high-performance supercapacitors
Fabrication and activation of carbon nanotube foam and its application in energy storage
Fabrication of ZnO:Ni nanowires and their Raman spectra
Fabrication of Ce/N co-doped TiO2/diatomite granule catalyst and its improved visible-light-driven photoactivity
Fabrication of magnetically recyclable Ce/N co-doped TiO2/NiFe2O4/diatomite ternary hybrid: Improved photocatalytic efficiency under visible light irradiation
Fabrication of Metal-Organic Framework and Infinite Coordination Polymer Nanosheets by the Spray Technique
Fabrication of Ti-Al intermetallics by a two-stage aluminothermic reduction process using Na2TiF6
Fabrication and Characterization of Floating Memory Devices Based on Thiolate-Protected Gold Nanoclusters
Fabrication of an Orbital Prosthesis Combined With Eyebrow Transplantation
Fabrication of Functional Graded Al2124 Composite Reinforced with Al2O3 Particles
Fabrication and characterization of novel macroporous jeffamine/diamino hexane cryogels for enhanced Cu(II) metal uptake: Optimization, isotherms, kinetics and thermodynamic studies
Fabrication and characterization of Sr-doped PbS thin films grown by CBD
Fabrication of NanoSiC-Reinforced Al2024 Matrix Composites by a Novel Production Method
Fabrication and Arc-Erosion Behavior of Ag8SnO(2) Electrical Contact Materials under Inductive Loads
Fabrication and Evaluation of Novel Rabbit Model Cardiovascular Simulator with 3D Printer
Fabrication of Photoelectrochemical Glucose Biosensor in Flow Injection Analysis System Using ZnS/CdS-Carbon Nanotube Nanocomposite Electrode
Fabrication of the hybrid Ag paste combined by Ag nanoparticle and micro Ag flake and its flexibility
Fabrication of recyclable superhydrophobic cotton fabrics
Fabrication of cell-benign inverse opal hydrogels for three-dimensional cell culture
Fabrication of Sn-58Bi-xCe Solder Paste Using Electrical Wire Explosion Method and its Mechanical Property
Fabrication of acrylic copolymer with aluminum nitride fillers and its physical and thermal properties
Fabrication and Electrical Characterization of Planar Lighting Devices with Cs3Sb Photocathode Emitters
Fabrication of mirror templates in silica with micron-sized radii of curvature
Fabrication of long period fiber gratings of subnanometric bandwidth
Fabrication of Zn-Sr-doped laser-spinning glass nanofibers with antibacterial properties
Fabry disease with lenticular degeneration without pulvinar sign
Fabrication of a SERS-Encoded Microfluidic Paper-Based Analytical Chip for the Point-of-Assay of Wastewater
Fabrication and adsorption properties of novel magnetic graphene oxide composites for removal of methylene blue
Fabrication and characterization of Al-SUS316L composite materials manufactured by the spark plasma sintering process
Fabrication and Process Optimization of Poly(2-hydroxyethyl methacrylate) Nanofibers by Response Surface Methodology
Fabrication of an amperometric acetylcholine esterase-choline oxidase biosensor based on MWCNTs-Fe(3)O(4)NPs-CS nanocomposite for determination of acetylcholine
Fabrication and characterization of micromechanical bridges with strain sensors deposited using focused electron beam induced technology
Fabrication of microfluidic structures in quartz via micro machining technologies
Fabrication of a Third Generation of Nb3Sn Coils for the LARP HQ03 Quadrupole Magnet
Fabrication of Gate-tunable Graphene Devices for Scanning Tunneling Microscopy Studies with Coulomb Impurities
Fabrication and characterization of injectable hydrogels derived from decellularized skeletal and cardiac muscle
Fabrication of cardiac patch by using electrospun collagen fibers
Fabrication of TiO2/porous carbon nanofibers with superior visible photocatalytic activity
Fabrication of monodispersed hollow flower-like porous In2O3 nanostructures and their application as gas sensors
Fabrication and evaluation of an organic monolithic column based upon the polymerisation of hexyl methacrylate with 1,6-hexanediol ethoxylate diacrylate for the separation of small molecules by capillary liquid chromatography
Fabrications, microstructure and mechanical behaviors of h-BN matrix ceramic
Fabrication of Metamaterials Based on Gold Dendritic Cells
Fabrication and electrical properties of porous BS-0.64PT high temperature piezoceramics using polystyrene microsphere
Fabrication of microvillus-like structure by photopolymerization-induced self-assembly of an amphiphilic random block copolymer
Fabrication and characterization of Au-doped ZnO nanocandles synthesized on diamond film
Fabrication of controlled-release budesonide tablets via desktop (FDM) 3D printing
Fabry disease: a review of current enzyme replacement strategies
Fabry-Perot resonance of water waves
Fabrication of gold nanoantennas on SiO2/TiO2 core/shell beads to study photon-driven surface reactions
Fabrication of hierarchically porous monolithic layered double hydroxide composites with tunable microcages for effective oxyanion adsorption
Fabrication of cation-doped BaTaO2N photoanodes for efficient photoelectrochemical water splitting under visible light irradiation
Fabrication of novel MEMS inertial switch with six layers on a metal substrate
Fabrication and characterization of single ZnO microwire Schottky light emitting diodes
Fabrication and characterization of Ag/Pd colloidal nanoparticles as stable platforms for SERS and catalytic applications
Fabrication, characterization and electrochemical properties of porous coral-structured Si/C composite anode for lithium ion battery
Fabrication of 2D Heterojunction in Graphene via Low Energy N-2(+) Irradiation
Fabrication of Photocatalyst Composite Coatings of Cr-TiO2 by Mechanical Coating Technique and Oxidation Process
Fabrication Process for PDMS Polymer/Silica Long-Period Fiber Grating Sensors
Fabrication of Fe nanowires on yittrium-stabilized zirconia single crystal substrates by thermal CVD methods
Fabrication of a novel aluminum surface covered by numerous high-aspect-ratio anodic alumina nanofibers
Fabrication of source and drain regions of self-aligned ZrInZnO thin-film transistors using a solution of tin and poly(propylene carbonate)
Fabrication and evaluation of series-triple quantum dots by thermal oxidation of silicon nanowire
Fabrication of high-quality amorphous silicon film from cyclopentasilane by vapor deposition between two parallel substrates
Fabrication of cyanine dye thin films grown by a layer-by-layer method
Fabrication of a screw-retained restoration avoiding the facial access hole: A clinical report
Fabrication and comparison of MoS2 and WSe2 field-effect transistor biosensors
Fabrication of compositionally and topographically complex robust tissue forms by 3D-electrochemical compaction of collagen
Fabrication of porous alumina ceramics with corn starch in an easy and low-cost way
Fabrication and Characterization of a Novel Anticancer Drug Delivery System: Salecan/Poly(methacrylic acid) Semi-interpenetrating Polymer Network Hydrogel
Fabrication of Graded Porous and Skin-Core Structure RDX-Based Propellants via Supercritical CO2 Concentration Profile
Fabrication of Magnetic Porous Silica Submicroparticles for Oil Removal from Water
Fabrication of an exfoliated graphitic carbon nitride as a highly active visible light photocatalyst
Fabrication of MPEG-b-PMAA capped WO4:Eu nanoparticles with biocompatibility for cell imaging
Fabrication of highly efficient ZnO nanoscintillators
Fabrication of thermo-sensitive complex micelles for reversible cell targeting
Fabrication of Robust Protein Films Using Nanoimprint Lithography
Fabrication of protein nanoparticles and microparticles within water domains formed in surfactant-oil-water mixtures: Phase inversion temperature method
Fabrication, characterization and properties of filled hydrogel particles formed by the emulsion-template method
Fabrication of hybrid magnetic Sr5xBa3x(PO4)(3)(OH)/Fe3O4 nanorod and its highly efficient adsorption performance for acid fuchsin dye
Fabrication of multilayered nanofluidic membranes through silicon templates
Fabrication of Diamond Based Sensors for Use in Extreme Environments
Fabrication of oleophobic paper with tunable hydrophilicity by treatment with non-fluorinated chemicals
Fabrication of a Nanostructure Based Electrochemical Sensor for Voltammetric Determination of Epinephrine, Uric Acid and Folic Acid
Fabrication of poly(beta-cyclodextrin-co-citric acid)/bentonite clay nanocomposite hydrogel: thermal and absorption properties
Fabrication of novel TiO2 nanoparticles/Mn(III) salen doped carbon paste electrode: application as electrochemical sensor for the determination of hydrazine in the presence of phenol
Fabrication of Solid State Nanopore in Thin Silicon Membrane Using Low Cost Multistep Chemical Etching
Fabrication of solid-state nanopores and its perspectives
Fabrication and Characterization of Injectable Calcium Phosphate-based Cements for Kyphoplasty
Fabrication of galactosylated chitosan-5-fluorouracil acetic acid based nanoparticles for controlled drug delivery
Fabrication of TiO2 hollow microspheres using K3PW12O40 as template
Fabrication of Alginate/Calcium Carbonate Hybrid Microparticles for Synergistic Drug Delivery
Fabrication of interpenetrating polymer network chitosan/gelatin porous materials and study on dye adsorption properties
Fabrication of novel porous carbon membrane/sintered metal fibers composite for isopropanol adsorption
Fabrication of BaSO4-based mineralized thin-film composite polysulfone/polyamide membranes for enhanced performance in a forward osmosis process
Fabrication and comparative study of vertically-grown and horizontally-dispersed fully nanowire-based photodetectors
Fabrication and Characterization of Chitosan-Silk Fibroin/Hydroxyapatite Composites via in situ Precipitation for Bone Tissue Engineering
Fabrication of rapidly-responsive switches based on the coupling effect of polyacrylamide and poly(acrylic acid) without IPN structures
Fabrication of ZnSnP2 thin films by phosphidation
Fabrication and Characterization of Ultrathin-ring Electrodes for Pseudo-steady-state Amperometric Detection
Fabrication of Ce3+-Cr3+ co-doped yttrium aluminium gallium garnet transparent ceramic phosphors with super long persistent luminescence
Fabrication of transparent conducting films composed of In3+ doped CuS and their application in flexible electroluminescent devices
Fabrication of ultra-flat silver surfaces with sub-micro-meter scale grains
Fabrication of photomasks consisting microlenses for the production of polymeric microneedle array
Fabrication and Heat-insolation of a Novel Low-density and Low Thermal Conductivity Carbon/Phenolic Composite
Fabrication and characterization of a multi-walled carbon nanotube-based counter electrode for dye-sensitized solar cells
Fabrication and lithium storage performance of sugar apple-shaped SiOx@C nanocomposite spheres
Fabrication and Improved Photocatalytic Performance of Pd/alpha-Fe2O3
Fabrication of filter paper with tunable wettability and its application in oil-water separation
Fabrication of Superhydrophobic-superoleophilic Cotton Fabric and Its Application in Water-oil Separation
Fabrication of TiO2 Nanotube Arrays by Two-step Anodic Oxidation
Fabrication and compressive behaviour of an aluminium foam composite
Fabrication and characterization of silicon nanowire p-i-n MOS gated diode for use as p-type tunnel FET
Fabrication of mixed uranium-plutonium carbide fuel pellets with a low oxygen content and an open-pore microstructure
Fabrication of worm-like Ag2S nanocrystals under mediation of protein
Fabrication and characterization of stearic acid/polyaniline composite with electrical conductivity as phase change materials for thermal energy storage
Fabrication of Ag/gamma-Fe2O3@TiO2 hollow magnetic core-shell nanospheres as highly efficient catalysts for the synthesis of beta-enaminones
Fabrication and Characterization of the AZO/Ag/AZO Transparent Conductive Films Prepared by RF Magnetron Sputtering Using Powder Targets
Fabric seam detection based on wavelet transform and CIELAB color space: A comparison
Fabrication of a high density graphene aerogel-gold nanostar hybrid and its application for the electrochemical detection of hydroquinone and o-dihydroxybenzene
Fabrication of electrospun ZnMn2O4 nanofibers as anode material for lithium-ion batteries
Fabrication of superhydrophobic bamboo timber based on an anatase TiO2 film for acid rain protection and flame retardancy
Fabrication and Measurement of Photosynthetic Microbial Fuel Cell Using Nafion-Casted Membrane Electrode Assembly
Fabrication of (Heparin/Chitosan Oligosaccharides/Pluronice (R)) Multilayer Films via Electrostatic Layer-by-Layer Assembly
Fabrication of polypyrrole/proteins composite film and their electro-controlled release for axons outgrowth
Fabrication of aligned, porous and conductive fibers and their effects on cell adhesion and guidance
Fabrication and photocatalytic property of ZnSe nanosheets
Fabrication of smart coatings based on wood substrates with photoresponsive behavior and hydrophobic performance
Fabrication and enhanced simulated sunlight photocatalytic activity of metallic platinum and indium oxide codoped titania nanotubes
Fabrication of poly(p-styrenesulfonate) grafted reduced graphene oxide/polyaniline/MnO2 hybrids with high capacitance performance
Fabrication of the hetero structured CsTaWO6/Au/g-C3N4 hybrid photocatalyst with enhanced performance of photocatalytic hydrogen production from water
Fabrication of porous metal-organic frameworks via a mixed-ligand strategy for highly selective and efficient dye adsorption in aqueous solution
Fabrication of poly (methyl methacrylate-co-maleic anhydride) copolymers and their kinetic analysis of the thermal degradation
Fabrication of beta-Si3N4 whiskers by microwave sintering using ZrO2-Y2O3-Al2O3 as liquid phase
Fabrication of novel magnetic nanocomposite with a number of adsorption sites for the removal of dye
Fabrication and properties of ZrC-ZrB2-SiC composites by spark plasma sintering
Fabrication and crack propagation behaviour of laminated ZrC-SiC composites
Fabrication of laminated ZrB2-SiC ceramics via tape casting and vacuum hot-pressing sintering and their mechanical properties
Fabrication of a poly(N-vinyl-2-pyrrolidone) modified macroporous polypropylene membrane via one-pot reversible-addition fragmentation chain-transfer polymerization and click chemistry
Fabrication of superhydrophobic surfaces on FRP composites: from rose petal effect to lotus effect
Fabrication and experiment of a hydrophilic micromixer using optically clear adhesive tape
Fabrication and high-temperature tribological properties of self-lubricating NiCr-BaMoO4 Composites
Fabrication of Si/ZnO vertical n-n(+) and p-p(+) isotype junction devices by pulsed laser deposition
Fabrication and Piezoelectric Characterization of Single Crystalline GaN Nanobelts
Fabrication of functional silver loaded montmorillonite/polycarbonate with superhydrophobicity
Fabrication and different photoelectric responses of nanocrystalline ZnO film irradiated with UV and white light in dry air
Fabrication of Riblet Structures on a Ni-based Superalloy (PWA1483) for Potential Drag Reduction in High Temperature Applications Based on Laser Optimization
Fabrication of the magnetic manganese dioxide/graphene nanocomposite and its application in dye removal from the aqueous solution at room temperature
Fabrication of sulfonated poly(aryl ether ketone sulfone) membranes blended with phosphotungstic acid and microporous poly(vinylidene fluoride) as a depository for direct-methanol fuel cells
Fabrication of CVD graphene-based devices via laser ablation for wafer-scale characterization
Fabrication of scalable and structured tissue engineering scaffolds using water dissolvable sacrificial 3D printed moulds
Fabrication of Ni stamp with high aspect ratio, two-leveled, cylindrical microstructures using dry etching and electroplating
Fabrication and performance of a tubular ceria based oxygen transport membrane on a low cost MgO support
Fabrication of polyimide based microfluidic channels for biosensor devices
Fabrication, characterization and modeling of a silicon solar cell optimized for concentrated photovoltaic applications
Fabrication and characterization of low loss MOMS resonators for cavity opto-mechanics
Fabrication of Cu(In,Ga)Se-2 solar cells with a single graded band profile
Fabrication and characterization of spin injector using a high-quality B2-ordered-Co2FeSi0.5Al0.5/MgO/Si(100) tunnel contact
Fabrication of Fiber-Reinforced Single-Polymer Composites through Compression Molding of Bicomponent Fibers Prepared by High-Speed Melt Spinning Process
Fabrication of plasmonic nanopillar arrays based on nanoforming
Fabrication of beta-FeSi2-Based Thermoelectric Composite Alloys by Oxidation and Reduction Reactions during Sintering of Eutectoid Si and Iron Oxide Powder
Fabrication of nanoscale Ca-alpha-SiAlON:Eu2+ phosphor by laser ablation in water
Fabrication of diamond lateral p-n junction diodes on (111) substrates
Fabrication of amphiphilic copolymeric gels with enhanced activity of immobilized enzymes in organic media
Fabrication of a Core-Shell-Type Photocatalyst via Photodeposition of Group IV and V Transition Metal Oxyhydroxides: An Effective Surface Modification Method for Overall Water Splitting
Fabrication and opto-electrical properties of amorphous (Zn, B) O thin film by pulsed laser deposition
Fabrication and characterization of a printable semiconductor based on the V2O5-P2O5 system for thermoelectric applications
Fabrication of submicron proteinaceous structures by direct laser writing
Fabrication and characterization of CdS doped TiO2 nanotube composite and its photocatalytic activity for the degradation of methyl orange
Fabrication of aligned Eu(TTA)(3)phen/PS fiber bundles from high molecular weight polymer solution by electrospinning
Fabrication of Aligned Carbon Nanocoil Thermal Sensor With a High Temperature Coefficient of Electrical Resistance at 25-100 degrees C
Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process
Fabricating Superhydrophobic Polymeric Materials for Biomedical Applications
Fabrication of a multilevel THz Fresnel lens by femtosecond laser ablation
Fabricated or induced illness in the oral cavity in children. A systematic review and personal experience
Fabrication of microscaffolds from Ti-6Al-7Nb alloy by SLM
Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
Fabrication of TiO2-NTs and TiO2-NTs covered honeycomb lattice and investigation of carrier densities in I-/I-3(-) electrolyte by electrochemical impedance spectroscopy
Fabrication and evolution of nanoprecursors to produce Bi(Pb)-2212/Ag textured superconducting composites
Fabrication and characterization of alkaline leached CuZn/Cu electrode as anode material for direct methanol fuel cell
Fabrication of LSGM thin films on porous anode supports by slurry spin coating for IT-SOFC
Fabrication of High-Purity Single-Phase Dense Ceramic from High-Sintering Complex Oxides
Fabrication of a beta-Bi2O3/BiOI heterojunction and its efficient photocatalysis for organic dye removal
Fabrication of a high-resolution mask by using variable-shaped electron beam lithography with a non-chemically amplified resist and a post-exposure bake
Fabrication of eight-step diffractive optical element for hologram-ROM
Fabrication, structural, dielectric and magnetic properties of tantalum and potassium doped M-type strontium calcium hexaferrites
Fabrication of superhydrophobic surface with controlled adhesion by designing heterogeneous chemical composition
Fabrication of superhydrophobic wood surface with enhanced environmental adaptability through a solution-immersion process
Fabrication and characterization of dielectric strontium titanium oxynitride single crystal
Fabrication of a membrane filter with controlled pore shape and its application to cell separation and strong single cell trapping
Fabrication of Highly Ordered and Well-Aligned PbTiO3/TiN Core-Shell Nanotube Arrays
Fabrication of Microcapsules for Dye-Doped Polymer-Dispersed Liquid Crystal-Based Smart Windows
Fabrication of Gd films by vacuum evaporation and its magnetocaloric properties
Fabrication of conductive oxidase-entrapping nanocomposite of mesoporous ceria carbon for efficient electrochemical biosensor
Fabrication of Carbon Dots Modified Porous ZnO Nanorods with Enhanced Photocatalytic Activity
Fabrication and Characterization of (Hydroxyl camptothecin@ sodium cholate) -layered Double Hydroxide Nanohybrids
Fabrication of a Novel Calcium Carbonate Composite Ceramic as Bone Substitute
Fabrication and Characterization of ZrO2 Nanofibers with Controllable Crystalline Phase by a Halid-free Approach
Fabrication, characterization, and controlled release of eprinomectin from injectable mesoporous PLGA microspheres
Fabrication of a thermo-sensitive poly(N-acetyl-L-glutamic acid-co-lysine ester) with excellent biocompatibility
Fabrication of Cu Coated Nano-Al2O3 Composite Powders by an Electroless Plating Method
Fabrication of submicron barium sulfate aggregates in the presence of ethylenediaminetetraacetic acid anions
Fabrication of BiOX (X=Cl, Br, and I) nanosheeted films by anodization and their photocatalytic properties
Fabrication of PLLA scaffold with gradient macro/micro/nano structure by electrophoretic deposition of carbon nanotube
Fabrication and characterization of polymeric three-axis thermal accelerometers
Fabrication and mechanical characterization of long and different penetrating length neural microelectrode arrays
Fabrication of High Contrast Gratings for the Spectrum Splitting Dispersive Element in a Concentrated Photovoltaic System
Fabrication of high-surface area nanoporous SiOC materials using pre-ceramic polymer blends and a sacrificial template
Fabry-Perot cavity based on silica tube for strain sensing at high temperatures
Fabrication and characterisation of non-polar M-plane AlN crystals and LEDs
Fabrication of single titanium oxide nanodot ultraviolet sensors by atomic force microscopy nanolithography
Fabrication of a silver nanowire-reduced graphene oxide-based electrochemical biosensor and its enhanced sensitivity in the simultaneous determination of ascorbic acid, dopamine, and uric acid
Fabrication of TiO2 Nanotanks Embedded in a Nanoporous Alumina Template
Fabrication of bioactive polypyrrole microelectrodes on insulating surfaces by surface-guided biocatalytical polymerization
Fabrication and Photovoltaic Properties of Dye-Sensitized Solar Cells Modified by Graphene Oxide and Magnetic Bead
Fabrication of Potentiometric Enzymatic Glucose Biosensor Based on Graphene and Magnetic Beads
Fabrication and characterization of tunnel barriers in a multi-walled carbon nanotube formed by argon atom beam irradiation
Fabrication and Optoelectronic and Nanomechanical Properties of AZO/Mo/AZO Multilayer Thin Films
Fabrication of MIL-120 membranes supported by a-Al2O3 hollow ceramic fibers for H-2 separation
Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology
Fabrication of nanostep for total internal reflection fluorescence microscopy to calibrate in water
Fabricating a high-resolution mask with improved line-edge roughness by using a nonchemically amplified resist and a postexposure bake
Fabrication of Bioactive Pure Ti by Microarc Oxidation and Hydrothermal Treatment Methods
Fabrication and Evaluation of 2-Hydroxyethyl Methacrylate-co-Acrylic Acid Hydrogels for Sustained Nicorandil Delivery
Fabrication, Preliminary Stability Evaluation and In-Vitro Characterization of Polysiloxane Polyalkyl Polyether Copolymer-Based Cosmetic Emulsion
Fabrication and Evaluation of Rosuvastatin Calcium Fast-Disintegrating Tablets Using beta-Cyclodextrin and Superdisintegrants
Fabrication and Characterization of Transparent Tin Dioxide Films with Variable Stoichiometric Composition
Fabrication and Characterization of PLGA-based Supermolecular Hydrogel
Fabrication and luminescent properties of Ce:LaAlO3 translucent ceramics
Fabrication, Physico-Chemical, and Pharmaceutical Characterization of Budesonide-Loaded Electrospun Fibers for Drug Targeting to the Colon
Fabrication of ZnIn2S4-g-C3N4 sheet-on-sheet nanocomposites for efficient visible-light photocatalytic H-2-evolution and degradation of organic pollutants
Fabrication of anti-reflective micro-structure at terahertz frequency by using Chinese acupuncture needles
Fabrication of three-dimensional patterns of reduced graphene oxide through grid-assisted deposition
Fabrication of Internal Optical Diffuser in PMMA Using Femtosecond Laser
Fabrication of long-period fiber gratings through periodic ablation using a focused CO2-laser beam
Fabrication of graphene and ZnO nanocones hybrid structure for transparent field emission device
Fabrication of porous polymer microspheres by tuning amphiphilicity of the polymer and emulsion-solvent evaporation processing
Fabrication of Pd-loaded carbon spheres with magnetic properties as active catalysts
Fabrication of core-shell porous nanocubic Mn2O3@TiO2 as a high-performance anode for lithium ion batteries
Fabrication and Performance of a Novel Visible Light-driven Fuel Cell Based on Photocatalytic Oxidation of Uric Acid by CdS Nanoparticles and Electrocatalytic Reduction of Oxygen by a Copper (II) Complex
Fabrication and Characteristics of Reduced Graphene Oxide Produced with Different Green Reductants
Fabrication and characterization of layered chitosan/silk fibroin/nano-hydroxyapatite scaffolds with designed composition and mechanical properties
Fabrication of a highly ordered hierarchically designed porous nanocomposite via indirect 3D printing: Mechanical properties and in vitro cell responses
Fabrication and application of carbon nanotubes/cellulose composite paper
Fabrication of NiTi Shape Memory Alloys with Graded Porosity to Imitate Human Long-bone Structure
Fabrication of paraffin@SiO2 shape-stabilized composite phase change material via chemical precipitation method for building energy conservation
Fabrication of p-pad-up GaN-based thin-film light-emitting diodes with electroplated metallic substrates
Fabricating an enhanced stable superhydrophobic surface on copper plates by introducing a sintering process
Fabrication of Bi-Fe3O4@RGO hybrids and their catalytic performance for the reduction of 4-nitrophenol
Fabrication of conductive carbonaceous spherical architecture from pitch by spray drying
Fabrication of composite nanofiltration membranes with enhanced structural stability for concentrating oligomeric proanthocyanidins in ethanol aqueous solution
Fabrication of CoWO4@NiWO4 nanocomposites with good supercapacitve performances
Fabrication of polyaniline nanowire/TiO2 nanotube array electrode for supercapacitors
Fabrication and properties of poly(ethylene chlorotrifluoroethylene) membranes via thermally induced phase separation (TIPS)
Fabrication of hybrid membranes by incorporating acid-base pair functionalized hollow mesoporous silica for enhanced proton conductivity
Fabrication of Unidirectional Diffusion Layer onto Polypropylene Mat for Oil Spill Cleanup
Fabrication of Cu-BTC Metal Organic Frameworks on PVDF Hollow Fiber Membrane for Gas Separation via Multiple Reactions
Fabrication and physico-chemical properties of iron titanate nanoparticles based sulfonated poly (ether ether ketone) membrane for proton exchange membrane fuel cell application
Fabrication of High Surface Area PAN-based Activated Carbon Fibers Using Response Surface Methodology
Fabrication of multi-walled carbon nanotube thin films via electrophoretic deposition process: effect of water magnetization on deposition efficiency
Fabrication of a visible-light-responsive photocatalytic antibacterial coating on titanium through anodic oxidation in a nitrate/ethylene glycol electrolyte
Fabrication and characterization of Si3N4 reinforced Al2O3-based ceramic tool materials
Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing
Fabrication of Self-Packaged Seamless Nanoporous SU-8 Microchannels
Fabrication Process Yielding Saturated Nanowire Single-Photon Detectors With 24-ps Jitter
Fabrication and Thermal Budget Considerations of Advanced Ge and InP SOLES Substrates
Fabrication of flexible blade models from a silicone-based polymer to test the effect of surface corrugations on drag and blade motion
Fabrication and characterization of a corner architecture Schottky barrier diode structure
Fabrication and dielectric properties of poly(ether ether ketone)/polyimide blends with selectively distributed multi-walled carbon nanotubes
Fabrication, characterization and electrocatalytic application of a lead dioxide electrode with porous titanium substrate
Fabrication of a Sensitive Sensor for Oxygen Using Nitrogen-Doped Carbon Nanotubes-Fe3C Nanoparticles
Fabrication of MoS2 Nanowire Arrays and Layered Structures via the Self-Assembly of Block Copolymers
Fabrication of a bioadhesive transdermal device from chitosan and hyaluronic acid for the controlled release of lidocaine
Fabrication of micro and sub-micrometer wrinkled hydrogel surfaces through thermal and photocrosslinking processes
Fabrication of biocompatible and stimuli-responsive hybrid microgels with magnetic properties via aqueous precipitation polymerization
Fabrication and Use of Dual-function Iridium Oxide Coated Gold SECM Tips. An Application to pH Monitoring above a Copper Electrode Surface during Nitrate Reduction.
Fabrication of Tetragonal Pb(Zr,Ti)O-3 Nanorods by Focused Ion Beam and Characterization of the Domain Structure
Fabrication and properties of NiCr/CNTs nanocomposite coatings prepared by High Velocity Oxy-Fuel Spraying
Fabrication of multilayered vascular tissues using microfluidic agarose hydrogel platforms
Fabrication of carbon nanotubes/TiO2 nanoparticles electrode-based on electrochemiluminescence (ECL) cell application
Fabrication of photo-crosslinkable polymer/silica sol-gel hybrid thin films as versatile barrier films
Fabrication and Characterization of TiO2 Nano Rods by Electrochemical Deposition into an Anodic Alumina Template
Fabrication of aluminum-ceramic skeleton composites based on the Ti2AlC MAX phase by SHS compaction
Fabrication of GDC-based micro tubular SOFC single cell using electrophoretic deposition process
Fabrication of La0.8Sr0.2Ga0.8Mg0.2O3-delta-based micro-tubular SOFC single cells via electrophoretic deposition
Fabrication of textured lead-free strontium barium niobate (SBN61) bulk ceramics and their electrical properties
Fabrication of Honeycomb-Patterned Polyaniline Composite Films Containing Cellulose Triacetate With High Conductivity and Mechanical Stability
Fabrication of heterogeneous biocatalyst tethering artificial prosthetic groups to obtain omega-3-fatty acids by selective hydrolysis of fish oils
Fabrication of protein scaffold by electrospin coating for artificial tissue
Fabrication of conductive soybean protein fiber for electromagnetic interference shielding through electroless copper plating
Fabrication of Polymerase Chain Reaction Plastic Lab-on-a-Chip Device for Rapid Molecular Diagnoses
Fabrication of carbon-based nanocomposite films by spin-coating process: An experimental and modeling study of the film thickness
Fabrication of superhydrophobic cotton fabrics by a simple chemical modification
Fabrication of alloyed aluminum nickelides by metallothermy of metals oxides
Fabrication of microstructured materials based on chitosan and D,L-lactide copolymers using laser-induced microstereolithography
Fabrication and property evaluation of Al-1 wt.%Si-0.5 wt.%Cu sputtering target materials by spark plasma sintering Process
Fabrication of high performance amine-rich magnetic composite fibers for the recovery of precious Pt(IV) from acidic solutions
Fabrication and Mechanical Properties of Nanostructured TiC-TiAl by the Pulsed Current Activated Sintering
Fabrication of the hierarchically roughened bumpy-surface topography for the long-lasting highly oleophobic "lotus effect" on cotton fibres
Fabrication and measurement of microtip electrode array with self-aligned integrated dual conductive electrodes
Fabrication of polycarbonate blends with poly(methyl methacrylate-co-phenyl methacrylate) copolymer: Miscibility and scratch resistance properties
Fabrication of Superhydrophobic Surface on Polydopamine-coated Al Plate by Using Modified SiO2 Nanoparticles/Polystyrene Nano-Composite Coating
Fabrication of Waterproof, Breathable Composite Liquid Dressing and Its Application in Diabetic Skin Ulcer Repair
Fabry Disease A Rare Condition Emerging From the Darkness
Fabrication, characterization, and error mitigation of non-flat sun sensor
Fabrication and characterisation of soy protein isolate-grafted dextran biopolymer: A novel ingredient in spray-dried soy beverage formulation
Fabrication, characterization and photochemical activity of ytterbium carbonate and ytterbium oxide nanoparticles
Fabrication of a three dimensional spongy scaffold using human Wharton's jelly derived extra cellular matrix for wound healing
Fabrication of a high strength ultra-fine grained Al-Mg-SiC nanocomposite by multi-step friction-stir processing
Fabrication parameters of low-temperature ZnO-based hole-transport-free perovskite solar cells
Fabrication of carbon sandwiched thin targets of Ba-138 by evaporation technique
Fabrication and Microscopic and Spectroscopic Characterization of Planar, Bimetallic, Micro- and Nanopatterned Surfaces
Fabrication, characterisation and stability of oil-in-water emulsions stabilised by solid lipid particles: the role of particle characteristics and emulsion microstructure upon Pickering functionality
Fabrication, optimization, and characterization of noble silver nanoparticles from sugarcane leaf (Saccharum officinarum) extract for antifungal application
Fabrication of a durable superhydrophobic surface for prosthetic joints by a titania-modified SiO2 nanocomposite
Fabrication of Poly(ether imide) Microspheres for Selective Laser Sintering (SLS) 3D Printing Process
Fabrication and properties of single domain GdBCO superconducting rings by a buffer aided Gd+011 TSIG method
Fabrication of polyacrylonitrile-coated kapok hollow microtubes for adsorption of methyl orange and Cu(II) ions in aqueous solution
Fabrication of hydrochar functionalized Fe-Mn binary oxide nanocomposites: characterization and 17 beta-estradiol removal
Fabrication of metal/semiconductor hybrid Ag/AgInO2 nanocomposites with enhanced visible-light-driven photocatalytic properties
Fabrication of Water-soluble Fluorescent Polymeric Micelles for Selective Detection of Hg2+ in Blood Serum
Fabrication of SnO2 Nanopaticles/BiOI n-p Heterostructure for Wider Spectrum Visible-Light Photocatalytic Degradation of Antibiotic Oxytetracycline Hydrochloride
Fabry病1例并文献复习
Fabrication of water-compatible molecularly imprinted polymer based on beta-cyclodextrin modified magnetic chitosan and its application for selective removal of bisphenol A from aqueous solution
Fabrication and microstructure evolution of Al/Mg bimetal using a near-net forming process
Fabrication of Co3O4 mesoporous thin films by using cobalt/chitosan precursor on fluorine-doped tin oxide glass
Fabrication and optical characterization of imprinted broad-band photonic films via multiple gradient UV photopolymerization
Fabrication of CuO nanoparticles coated bacterial nanowire film for a high-performance electrochemical conductivity
Fabrication and interfacial characteristics of surface modified Ag nanoparticle based conductive composites
Fabrication of Nanosized Island-Like CdO Crystallites-Decorated TiO2 Rod Nanocomposites via a Combinational Methodology and Their Low-Concentration NO2 Gas-Sensing Behavior
Fabrication of a protein microarray by fluorous-fluorous interaction
Fabrication of TiN inverse opal structure and Pt nanoparticles by atomic layer deposition for proton exchange membrane fuel cell
Fabrication and characterization of BNLT-BHF lead-free ceramics
Fabrication and simulation studies on D-shaped optical fiber sensor via surface plasmon resonance
Fabrication, calibration and proof experiments in hypersonic wind tunnel for a novel MEMS skin friction sensor
Fabrication of continuous phase plates with small structures based on recursive frequency filtered ion beam figuring
Fabrication and post heat treatment of 0.5Pb (Mg1/3Nb2/3)O-3-0.5Pb(Zr0.48Ti0.52)O-3 coatings by supersonic plasma spray
Fabrication of functional macroscopic polymer microtubes from N,N'-methylene bisacrylamide crystals and their adsorption for Cr(VI)
Fabric Appearance Control System for Example-Based Interactive Texture and Color Design
Fabrication of high-density array of barnacle-like porous structures using polystyrene colloidal particle monolayer and poly(vinyl alcohol) coating
Fabrication of poly(N-isopropylacrylamide) nanoparticles using a simple spray-coating method and applications for a QCM-based HCl gas sensor coating
Fabrication of PdOx loaded highly mesoporous WO3/TiO2 hybrid nanofibers by stepwise pore-generation for enhanced photocatalytic performance
Fabrication and Characterization of Fe/Polyurethane Nanofiber Actuator Prepared by Electrospinning
Fabrication of ionic liquid gel beads via sequential deposition
Fabrication of silicon carbide membranes on highly permeable supports
Fabrication and Characterization of a 20-MHz Microlinear Phased-Array Transducer for Intervention Guidance
Fabrication of reducing atmosphere electrodes (fuel electrodes) by electroless plating of copper on BaZr0.9-xCexY0.1O3-delta - A proton-conducting ceramic
Fabrication of high quality multilayer blazed gratings using ion beam assisted deposition
Fabrication and Evaluation of Bendable Phosphor Screen for Feasibility Study of Medical X-ray Imaging Detector
Fabrication of multilayer graphene oxide-reinforced high density polyethylene nanocomposites with enhanced thermal and mechanical properties via thermokinetic mixing
Fabrication of High-Efficiency CMUTs With Reduced Parasitics Using Embedded Metallic Layers
Fabrication of highly sensitive nitrite electrochemical sensor in foodstuff using nanostructure sensor
Fabrication of Silver Nano-Hole Pattern with Different Hole Sizes by Thermal Transfer Printing
Fabrication of porous Mn2O3 microsheet arrays on nickel foam as high-rate electrodes for supercapacitors
Fabrication of submicron BaTiO3@YFeO3 particles and fine-grained composite magnetodielectric ceramics with a core-shell structure by means of a co-precipitation method
Fabrication of MnO nanowires implanted in graphene as an advanced anode material for sodium-ion batteries
Fabrication of Y and Fe co-doped BaZr0.13Ti1.46O3 fine-grained ceramics for temperature-stable multilayer ceramic capacitors
Fabrication of Low-Generation Dendrimers into Nanostructures for Efficient and Nontoxic Gene Delivery
Fabrication and Characterization of Conductive Conjugated Polymer-Coated Antheraea mylitta Silk Fibroin Fibers for Biomedical Applications
Fabrication of superhydrophobic melamine sponges by thiol-ene click chemistry for oil removal
Fabrication of sewage sludge-derived magnetic nanocomposites as heterogeneous catalyst for persulfate activation of Orange G degradation
Fabrication of nanoporous graphene/polymer composite membranes
Fabrication of Superconducting YBa2Cu3O7-x Thin Films on Si Wafer via YSZ/CeO2 buffer layers
Fabrication of YH3 thin film using Pd/Ni co-capping layer: Ni thickness effect
Fabrication of Silica-Protein Hierarchical Nanoarchitecture with Gas-Phase Sensing Activity
Fabrication of highly dispersed/active ultrafine Pd nanoparticle supported catalysts: a facile solvent-free in situ dispersion/reduction method
Fabrication and Electrochemical Performance of Structured Mesoscale Open Shell V2O5 Networks
Fabrication and Physicochemical Investigation of Ancient Iranian and Pakistani Treated Silver Particles and their Comparison with Silver Nanoparticles
Fabrication, characterization and enhanced sensing performance of graphene-TiO2 gas sensor device
Fabrication of reduced graphene oxide-magnetic nanocomposite (rGO-Fe3O4) as an electrochemical sensor for trace determination of As(III) in water resources
Fabrication methods of plasmonic and magnetoplasmonic crystals: a review
Fabrication of a matrigel-collagen semi-interpenetrating scaffold for use in dynamic valve interstitial cell culture
Fabrication of CdS nanorods and nanoparticles with PANI for (DSSCs) dye-sensitized solar cells
Fabrication of hierarchical ZnO nanostructures on cotton fabric for wearable device applications
Fabrication of beta-CuGaO2 thin films by ion-exchange of beta-NaGaO2 thin films
Fabrication of multi-layer Bi2Se3 devices and observation of anomalous electrical transport behaviors
Fabrication of biochars obtained from valorization of biowaste and evaluation of its physicochemical properties
Fabrication and property of Nd-doped BiFeO3 nanofibers by electrospinning
Fabrication of TiO2 nanorods/nanosheets photoelectrode on Ti mesh by hydrothermal method for degradation of methylene blue:influence of calcination temperature
Fabrication of the electrochemically reduced graphene oxide-bismuth nanoparticles composite and its analytical application for an anticancer drug gemcitabine
Fabrication of graphene nanosheet-multiwalled carbon nanotube-polyaniline modified carbon paste electrode for the simultaneous electrochemical determination of terbutaline sulphate and guaifenesin
Fabrication of a metal clad planar polymer waveguide based sensor for detection of low-refractive-index-contrast of liquid
Fabrication of Silicon Nanobelts and Nanopillars by Soft Lithography for Hydrophobic and Hydrophilic Photonic Surfaces
Fabrication and mechanical properties of Al2O3-SiCw-TiCnp ceramic tool material
Fabrication and Mechanical Properties of Flexible gamma-Al2O3 Nanofibrous Membranes
Fabrication and cutting performance of an Al2O3/TiC/TiN ceramic cutting tool in turning of an ultra-high-strength steel
Fabricating an anionic polyacrylamide (APAM) with an anionic block structure for high turbidity water separation and purification
Fabrication and formaldehyde sensing performance of Fe-doped In2O3 hollow microspheres via a one-pot method
Fabrication of Cell-Laden Hydrogel Fibers with Controllable Diameters
Fabrication, microstructure and mechanical properties of self-diffusion gradient cermet composite tool materials
Fabrication of ultrafiltration membranes with enhanced antifouling capability and stable mechanical properties via the strategies of blending and crosslinking
Fabrication of Bioinspired Structured Superhydrophobic and Superoleophilic Copper Mesh for Efficient Oil-water Separation
Fabrication and properties of self-standing GaN-based film with a strong phase-separated InGaN/GaN layer in neutral electrolyte
Fabrication of thermoresponsive near-infrared fluorescent gold nanocomposites and their thermal manipulation
Fabrication of SiC/diamond composite coatings by electrophoretic deposition and chemical vapor deposition
Fabrication of reactive pigment composite particles for blue-light curable inkjet printing of textiles
Fabrication of in situ polymerized poly(butylene succinate-co-ethylene terephthalate)/hydroxyapatite nanocomposite to fibrous scaffolds for enhancement of osteogenesis
Fabrication and characterization of microencapsulated PA with SiO2 shell through sol-gel synthesis via sodium silicate precursor
Fabrication and Mechanical Characterization of Cold Extruded Aluminum Bronze Planar Microsprings
Fabrication and simulation of semi-transparent and flexible PMMA/ATO conductive nanocomposites obtained by compression molding at different temperatures and pressures
Fabrication of non-fluorinated hydrophilic-oleophobic stainless steel mesh for oil-water separation
Fabrication of precision integrated capacitors
Fabrication and Modeling of High-Efficiency Front Junction N-Type Silicon Solar Cells With Tunnel Oxide Passivating Back Contact
Fabrication of nitrogen-doped carbon dots for screening the purine metabolic disorder in human fluids
Fabrication of corrosion resistant mussel-yarn like superhydrophobic composite coating on aluminum surface
Fabrication of a Novel Highly Sensitive and Selective Immunosensor for Botulinum Neurotoxin Serotype A Based on an Effective Platform of Electrosynthesized Gold Nanodendrites/Chitosan Nanoparticles
Fabrication of biopolymer based nanocomposite wound dressing: evaluation of wound healing properties and wound microbial load
Fabrication of a New Modified Tm3+- Carbon Paste Sensor Using Multi-Walled Carbon Nanotubes (MWCNTs) and Nanosilica Based on 4-Hydroxy Salophen (vol 12, pg 2647, 2017)
Fabrication of a New Modified Tm3+- Carbon Paste Sensor Using Multi-Walled Carbon Nanotubes (MWCNTs) and Nanosilica Based on 4-Hydroxy Salophen
Fabrication of Flexible and Transparent Piezoelectric Films and Application to a Film Speaker
Fabrication of PVA coated PES/PVDF nanocomposite membranes embedded with in situ formed magnetite nanoparticles for removal of metal ions from aqueous solutions
Fabrication of Al/Al2Cu in situ nanocomposite via friction stir processing
Fabrication of an ultrasensitive electrochemical sensor based on a mesoporous silica material functionalized by copper ion (SBA-15-Cu(II)) modified carbon paste electrode for determination of antibiotic ceftazidime and its application in pharmaceutical and biological samples
Fabrication of Poly(4-Aminobenzoic Acid/o-Toluidine) Modified Carbon Paste Electrode and Its Electrocatalytic Property to the Oxidation of Nitrite
Fabrication and characterization of aligned fibrin nanofiber hydrogel loaded with PLGA microspheres
Fabrication and biological applications of luminescent polyamidoamine dendrimers with aggregation-induced emission feature
Fabrication of AIE-active fluorescent organic nanoparticles through one-pot supramolecular polymerization and their biological imaging
Fabrication of a new physiological macroporous hybrid biomaterial/bioscaffold material based on polyphosphate and collagen by freeze-extraction
Fabrication of Novel Ternary Three-Dimensional RuO2/Graphitic-C3N4@reduced Graphene Oxide Aerogel Composites for Supercapacitors
Fabrication of robust mesh with anchored Ag nanoparticles for oil removal and in situ catalytic reduction of aromatic dyes
Fabrication of an A356/fly-ash-mullite interpenetrating composite and its wear properties
Fabrication of porous carbon microspheres with numerous spherical microstructures directly from waste Camellia oleifera shells and their application in sustained-release of 5-fluorouracil
Fabrication of high fill-factor aspheric microlens array by digital maskless lithography
Fabrication, Structural Characterization and Optical Properties of Oversized ZnO Microwires
Fabrication of arbitrary 3D components in cardiac surgery: from macro-, micro-to nanoscale
Fabrication of genetically engineered polypeptide@quantum dots hybrid nanogels for targeted imaging
Fabrication of Circuits on Flexible Substrates Using Conductive SU-8 for Sensing Applications
Fabricating poly(1,8-octanediol citrate) elastomer based fibrous mats via electrospinning for soft tissue engineering scaffold
Fabrication of 3D biomimetic composite coating with broadband antireflection, superhydrophilicity, and double p-n heterojunctions
Fabrication of hierarchically porous TiO2 nanofibers by microemulsion electrospinning and their application as anode material for lithium-ion batteries
Fabrication of CeO2@CdS sub-micron rod arrays for enhanced photoelectrochemical performance
Fabricating upconversion fluorescent nanoparticles modified substrate for dynamical control of cancer cells and pathogenic bacteria
Fabrication of a novel hierarchical flower-like hollow structure Ag2WO4/WO3 photocatalyst and its enhanced visible-light photocatalytic activity
Fabrication of Micro-Scale Gratings by Nanosecond Laser and Its Applications for Deformation Measurements
Fabrication and properties of core-shell structural nano-TiO2@Fe magnetic photocatalyst for removal of phenol waste water
Fabrication and Test of an Inflated Circular Diaphragm Dielectric Elastomer Generator Based on PDMS Rubber Composite
Fabricating a Novel Raman Spectroscopy-Based Aptasensor for Rapidly Sensing Salmonella typhimurium
Fabrication, mechanical properties and in vitro degradation behavior of newly developed Zn-Ag alloys for degradable implant applications
Fabrication and Characterization of Biphasic Silk Fibroin Scaffolds for Tendon/Ligament-to-Bone Tissue Engineering
Fabrication of Large Area Broadband and Omnidirectional Antireflective Transparent Foils by Roll-to-Roll Extrusion Coating
Fabry Disease in Families With Hypertrophic Cardiomyopathy Clinical Manifestations in the Classic and Later-Onset Phenotypes
Fabrication of flexible SWCNTs-Te composite films for improving thermoelectric properties
Fabrication of interconnected mesoporous carbon sheets for use in high-performance supercapacitors
Fabrication and Properties of Micro-Nanoencapsulated Phase Change Materials for Internally-Cooled Liquid Desiccant Dehumidification
Fabrication of In2O3/ZnO@Ag nanowire ternary composites with enhanced visible light photocatalytic activity
Fabrication of highly porous mullite microspheres via oil-drop molding accompanied by freeze casting
Fabrication of Al-35Zn alloys with excellent damping capacity and mechanical properties
Fabrication and in vitro degradation behaviour of TCP/CS composite bioceramics
Fabrication of lanthanum doped BaTiO3 fine-grained ceramics with a high dielectric constant and temperature-stable dielectric properties using hydro-phase method at atmospheric pressure
Fabrication of ZnO/ZnFe2O4 hollow nanocages through metal organic frameworks route with enhanced gas sensing properties
Fabrication of novel g-C3N4 nanocrystals decorated Ag3PO4 hybrids: Enhanced charge separation and excellent visible-light driven photocatalytic activity
Fabrication of polyaniline/silver composite coating as a dual-functional platform for microextraction and matrix-free laser desorption/ionization
Fabrication and Characterization of a Radial Ultrasonic Transducer
Fabrication and characterization of superelastic Ti-Nb alloy enhanced with antimicrobial Cu via spark plasma sintering for biomedical applications
Fabrication of TiB2/Al composite by melt-SHS process with different content of titanium powder
Fabrication of (U,Am)O-2 pellet with controlled porosity from oxide microspheres
Fabrication of monodisperse magnetic nanoparticles released in solution using a block copolymer template
Fabry-Perot spectroscopy for kinetic temperature and velocity measurements of a high enthalpy air plasma flow
Fabrication Method Study of ZnO Nanocoated Cellulose Film and Its Piezoelectric Property
Fabrication of sensory structure based on poly (ethylene glycol)-diacrylate hydrogel embedding polydiacetylene
Fabrication of Phosphorescence WOLEDs Based on Dimeric Phenoxazine Derivative for Hole Injection Layer
Fabrication of Nanoshell-Based 3D Periodic Structures by Templating Process using Solution-derived ZnO
Fabrication of parabolic Si nanostructures by nanosphere lithography and its application for solar cells
Fabrication of a high-Q factor ring resonator using LSCVD deposited Si3N4 film
Fabrication of interconnected porous calcium-deficient hydroxyapatite using the setting reaction of a tricalcium phosphate spherical granules
Fabrication of arbitrarily shaped carbonate apatite foam based on the interlocking process of dicalcium hydrogen phosphate dihydrate
Fabrication of an Anti-Biofouling Plasma-Filtration Membrane by an Electrospinning Process Using Photo-Cross-linkable Zwitterionic Phospholipid Polymers
Fabrication of Fe2O3 nanowire arrays based on oxidation-assisted stress-induced atomic-diffusion and their photovoltaic properties for solar water splitting
Fabrication of oxidation-resistant Ge colloidal nanoparticles by pulsed laser ablation in aqueous HCl
Fabrication of superconductor-ferromagnet-insulator-superconductor Josephson junctions with critical current uniformity applicable to integrated circuits
Fabrication of an ultrafine fish gelatin nanofibrous web from an aqueous solution by electrospinning
Fabrication of poly(epsilon-caprolactone) (PCL) particles with non-spherical geometries via selective dewetting and deposition of the polymer
Fabrication of functional 3D hepatic tissues with polarized hepatocytes by stacking endothelial cell sheets in vitro
Fabrication of Orientation-Controlled 3D Tissues Using a Layer-by-Layer Technique and 3D Printed a Thermoresponsive Gel Frame
Fabrication of three-dimensional suspended, interlayered and hierarchical nanostructures by accuracy-improved electron beam lithography overlay
Fabrication of flexible light shutter using liquid crystals with polymer structure
Fabrication and Characterization of Ge2Sb2Te5 Nanowirt Arrays and PEDOT: PSS Hybrid Thermoelectric Composites
Fabrication of a dye-doped liquid crystal light shutter by thermal curing of polymer
Fabricating macroporous RuO2-TiO2 electrodes using polystyrene templates for high chlorine evolution efficiencies
Fabrication of pseudo single crystalline thin films composed of polydiacetylene nanofibers and their optical properties
Fabrication of gold clusters photoreduced in gold-dendrimer complex nanoparticles
Fabrication of Si nanopowder from Si swarf and application to high-capacity and low cost Li-ion batteries
Fabrication and evaluation of capacitive silicon resonators with piezoresistive heat engines
Fabrication of resistively-coupled single-electron device using an array of gold nanoparticles
Fabrication of size-controlled nanoring arrays by selective incorporation of ionic liquids in diblock copolymer micellar cores
Fabrication of Millimeter-Long Carbon Tubular Nanostructures Using the Self-Rolling Process Inherent in Elastic Protein Layers
Fabrication of an Assembled Scanning Probe With Nitrogen Vacancy Centers in Diamond Particle
Fabrication and Characterization of Highly Sensitive Acetone Chemical Sensor Based on ZnO Nanoballs
Fabrication and characterization of bulk nanoporous Cu with hierarchical pore structure
Fabrication, characterization and electrochemical properties of porous palladium bulk samples with high porosity and hierarchical pore structure
Fabrication of Polymer Microspheres for Optical Resonator and Laser Applications
Fabrication of Micropatterned Self-Oscillating Polymer Brush for Direction Control of Chemical Waves
Fabrication and characterizations of nitrogen-doped BaSi2 epitaxial films grown by molecular beam epitaxy
Fabrication of Carbon Particles with Core-Shell Structure for a Fluidized Bed Electrode
Fabrication of Nano-Micro Hybrid Structures by Replication and Surface Treatment of Nanowires
Fabrication of nitrogen-doped nano-onions and their electrocatalytic activity toward the oxygen reduction reaction
Fabrication of contact lens containing high-performance wire grid polarizer
Fabrication of well-aligned ZnO@Ag nanorod arrays with effective charge transfer for surface-enhanced Raman scattering
Fabrication of a highly effective electrochemical urea sensing platform based on urease-immobilized silk fibroin scaffold and aminated glassy carbon electrode
Fabrication of a cerium-doped nickel ferrite solid-state reference electrode and its performance evaluation in concrete environment
Fabrication of Tissue-Engineered Bionic Urethra Using Cell Sheet Technology and Labeling By Ultrasmall Superparamagnetic Iron Oxide for Full-Thickness Urethral Reconstruction
Fabrication and Structural Characterization of an Ultrathin. Film of a Two-Dimensional-Layered Metal-Organic Framework, {Fe(py)(2)[Ni(CN)(4)]} (py = pyridine)
Fabrication and photochromic properties of Forcespinning (R) fibers based on spiropyran-doped poly(methyl methacrylate)
Fabrication of ascorbyl palmitate loaded poly(caprolactone)/silver nanoparticle embedded poly(vinyl alcohol) hybrid nanofibre mats as active wound dressings via dual-spinneret electrospinning
Fabrication of a Biodegradable Implant with Tunable Characteristics for Bone Implant Applications
Fabrication of Gold Microwires by Drying Gold Nanorods Suspensions
Fabrication of reduced graphene oxide micro patterns by vacuum-ultraviolet irradiation: From chemical and structural evolution to improving patterning precision by light collimation
Fabrication of a fiber-type hepatic tissue by bottom-up method using multilayer spheroids
Fabrication of Chitosan-Reinforced ZrxAl1-xOOH Nanocomposites and Their Arsenite and Fluoride Depollution Densities from Single/Binary Systems
Fabrication of Carbonate Apatite Block through a Dissolution-Precipitation Reaction Using Calcium Hydrogen Phosphate Dihydrate Block as a Precursor
Fabrication of Three-Dimensional Single-Walled Carbon Nanotube Networks Suspended on Si Pillar Architecture
Fabrication of practical PEMFC electrode with ultralow mass loading of platinum via electro-spray deposition technique
Fabrication and evaluation of a highly durable and reliable chloride monitoring sensor for civil infrastructure
Fabrication and characterization of cellulose nanocrystal based transparent electroactive polyurethane
Fabrication and characterization of flower-like BiOI/Pt heterostructure with enhanced photocatalytic activity under visible light irradiation
Fabrication of Nanoscale Patternable Films of Silk Fibroin Using Benign Solvents
Fabrication and testing of a 4-node micro-pocket fission detector array for the Kansas State University TRIGA Mk. II research nuclear reactor
Fabrication of WO3/Ag2CrO4 composites with enhanced visible-light photodegradation towards methyl orange
Fabrication of a capillary column coated with the four-fold-interpenetrated MOF Cd(D-Cam)(tmdpy) for gas chromatographic separation
Fabrication of transparent LaYZr2O7 ceramic by reactive hot-pressing sintering from commercial raw powders
Fabrication and water desalination performance of piperazine-polyamide nanocomposite nanofiltration membranes embedded with raw and oxidized MWCNTs
Fabrication of a novel polyhedral oligomeric silsesquioxanes/polyether-block-amide nano-hybrid membrane for pervaporative separation of model fuel butanol
Fabrication, characterization, and performance of YbDSB ternary compounds for IT-SOFC applications
Fabrication of electrically conductive substrates using copper nanoparticles-deposited carbon black
Fabrication of honeycomb textured glass substrate and nanotexturing of zinc oxide front electrode for its application in high efficiency thin film amorphous silicon solar cell
Fabrication and characterization of anthracene thin films for wide scale organic optoelectronic applications based on linear/nonlinear analyzed optical dispersion parameters
Fabrication of concave micromirrors for single cell imaging via controlled over-exposure of organically modified ceramics in single step lithography
Fabrication of chitosan/silver nanocomposite films and their fluorescence sensing of aluminum ions
Fabrication of a new biosensor based on a Sn doped ceria nanoparticle modified glassy carbon paste electrode for the selective determination of the anticancer drug dacarbazine in pharmaceuticals
Fabrication of Highly Stable Metal Oxide Hollow Nanospheres and Their Catalytic Activity toward 4-Nitrophenol Reduction
Fabrication of modified hydrogenated castor oil/GPTMS-ZnO composites and effect on UV resistance of leather
Fabrication of two-dimensional special photonic crystals by symmetry-lost beam interference lithography
Fabrication of a thin-layer PTFE coating exhibiting superhydrophobicity by supercritical CO2
Fabrication of a single sub-micron pore spanning a single crystal (100) diamond membrane and impact on particle translocation
Fabrication and characterization of photoelectrochemically-active Sb-doped Sn-x-W(100-x)%-oxide anodes: Towards the removal of organic pollutants from wastewater
Fabrication of Bi2Te3-xSex nanowires with tunable chemical compositions and enhanced thermoelectric properties
Fabrication of Supramolecular n/p-Nanowires via Coassembly of Oppositely Charged Peptide-Chromophore Systems in Aqueous Media
Fabrication of human hair keratin/jellyfish collagen/eggshell-derived hydroxyapatite osteoinductive biocomposite scaffolds for bone tissue engineering: From waste to regenerative medicine products
Fabrication and Characterization of Cross-linked Polybenzimidazole Based Membranes for High Temperature PEM Fuel Cells
Fabrication of porous nanosheets assembled from NiCo2O4/NiO electrode for electrochemical energy storage application
Fabrication of 3D Macroscopic Graphene Oxide Composites Supported by Montmorillonite for Efficient U(VI) Wastewater Purification
Fabrication of Pt/Cu-3(PO4)(2) ultrathin nanosheet heterostructure for photoelectrochemical microRNA sensing using novel G-wire-enhanced strategy
Fabric evolution of polydeformed orthogneisses and quartzites along the Curitiba Shear Zone, Curitiba Domain, Southern Brazil
Fabrication of Silk Scaffolds with Nanomicroscaled Structures and Tunable Stiffness
Fabrication of conductance-controllable standard leak elements on anodic aluminum oxide using a selective coating method
Fabrication of self-assembled vesicle nanoparticles of poly(L-lysine)-arachidic acid conjugates for a vascular endothelial growth factor carrier
Fabrication of lightweight conductive material by electroless deposition of copper coating on the surface of poly(ether ether ketone)/multiwalled carbon nanotubes composite
Fabrication of Fe-doped birnessite with tunable electron spin magnetic moments for the degradation of tetracycline under microwave irradiation
Fabrications of Polyaniline Films by Pulse Electrodeposition in Acidic Solutions with Different Anions and Their Thermoelectric Performances
Fabrication of chitosan/Au-TiO2 nanotube-based dry electrodes for electroencephalography recording
Fabrication of nanoplate-like g-C3N4/Bi12TiO20 heterojunction with enhanced visible-light photocatalytic' activity
Fabrication and post-chemical-etched surface texturing of H and Ti co-doped ZnO film for silicon thin-film solar cells
Fabrication of sponge biomass adsorbent through UV-induced surface-initiated polymerization for the adsorption of Ce(III) from wastewater
Fabrication and properties of glycidyl azide polymer-modified nitrocellulose spherical powders
Fabrication of a silicon based vertical sensitive low-g inertial micro-switch for linear acceleration sensing
Fabrication of adhesion-enhanced and highly reliable copper circuits onto flexible substrates via a scribing-seeding-plating process
Fabrication, electrochemical and catalytic properties of the nanocomposites composed of phosphomolybdic acid and viologen-functionalized multi-walled carbon nanotubes
Fabrication of Photovoltaic Textiles
Fabrication of antibacterial mixed matrix nanocomposite membranes using hybrid nanostructure of silver coated multi-walled carbon nanotubes
Fabrication of novel Ag/AgCl electrode pair on the template of carbon foam as marine electric field sensor and its electrochemical performances
Fabry-Perot interferometer fiber tip sensor based on a glass microsphere glued at the etched end of multimode fiber
Fabrication of an Effective Gold Nanoparticle/Graphene/Nafion (R) Modified Glassy Carbon Electrode for High Sensitive Detection of Trace Cd2+, Pb2+ and Cu2+ in Tobacco and Tobacco Products
Fabrication of BiOIO3 with induced oxygen vacancies for efficient separation of the electron-hole pairs
Fabrication of reduced graphene oxide nanosheets doped PVA composite films for tailoring their opto-mechanical properties
Fabrication of reduced graphene oxide nanosheets doped PVA composite films for tailoring their opto-mechanical properties (vol 123, 424, 2017)
Fabrication of ZnO nanowire-silicon pyramid hierarchical structure, and its self-cleaning
Fabrication and photoactivity of organic-inorganic systems based on titania nanotubes and PEDOT containing redox centres formed by different Prussian Blue analogues
Fabrication of Pure Electrospun Materials from Hyaluronic Acid
Fabrication and characterization of porous Ti-10Cu alloy for biomedical application
Fabrication of nanocrystalline Sc2O3-Y2O3 solid solution ceramics by spark plasma sintering
Fabrication of novel polyaniline/flowerlike copper monosulfide composites with enhanced electromagnetic interference shielding effectiveness
Fabrication of polyaniline sensitized grey-TiO2 nanocomposites and enhanced photocatalytic activity
Fabrication of Polymeric Micelles with Aggregation-Induced Emission and Forster Resonance Energy Transfer for Anticancer Drug Delivery
Fabrication of nitrogen-doped graphenes by pulsed laser deposition and improved chemical enhancement for Raman spectroscopy
Fabrication of urchin-like Ag/ZnO hierarchical nano/microstructures based on galvanic replacement mechanism and their enhanced photocatalytic properties
Fabrication of core-shell Ag@pDA@HAp nanoparticles with the ability for controlled release of Ag+ and superior hemocompatibility
Fabrication and Characterization of Magnetic Hydroxyapatite Entrapped Agarose Composite Beads with High Adsorption Capacity for Heavy Metal Removal
Fabrication and Properties of Ca-P Bioceramic Spherical Granules with Interconnected Porous Structure
Fabrication of porous ionic liquid polymer as solid-phase microextraction coating for analysis of organic acids by gas chromatography - mass spectrometry
Fabrication of biomass-derived C-doped Bi2WO6 templated from wood fibers and its excellent sensing of the gases containing carbonyl groups
Fabrication of boron-doped porous carbon with termite nest shape via natural macromolecule and borax to obtain lithium-sulfur/sodium-ion batteries with improved rate performance
Fabrication of efficient PbS colloidal quantum dot solar cell with low temperature sputter-deposited ZnO electron transport layer
Fabrication and photocatalytic behavior of a novel nanocomposite hydrogels containing Fe-octacarboxylic acid phthalocyanine
Fabrication and SERS application of the thermoresponsive nanofibers with monodisperse Au@Ag bimetallic nanorods loaded shells
Fabrication and investigation of a biocompatible microfilament with high mechanical performance based on regenerated bacterial cellulose and bacterial cellulose
Fabrication and properties of precursor-derived SiBN ternary ceramic fibers
Fabrication and characterization of co-polyimide fibers containing pyrimidine units
Fabrication of porous graphitic carbon nitride-titanium dioxide heterojunctions with enhanced photo-energy conversion activity
Fabrication of chitosan/graphene oxide polymer nanofiber and its biocompatibility for cartilage tissue engineering
Fabrication of Polyimide Membrane Incorporated with Functional Graphene Oxide for CO2 Separation: The Effects of GO Surface
Fabrication of antifouling reverse osmosis membranes by incorporating zwitterionic colloids nanoparticles for brackish water desalination
Fabrication of Li4Ti5O12-TiO2 Nanosheets with Structural Defects as High-Rate and Long-Life Anodes for Lithium-Ion Batteries
Fabrication of a graphene/C-60 nanohybrid via gamma-cyclodextrin host-guest chemistry for photodynamic and photothermal therapy
Fabrication of Electrical Conductivity and Reinforced Electrospun Silk Nanofibers with MWNTs
Fabrication of Nitrogen-Doped Carbon Nanofiber Networks for Oxygen Reduction Reaction
Fabrication, mechanical properties, and tribological behaviors of Ti2AlC and Ti2AlSn0.2C solid solutions
Fabrication and Characterization of PM Ultrafine Grained Ti-Mo-Fe Alloys for Biomedical Application
Fabrication of two lanthanides co-doped Bi2MoO6 photocatalyst: Selection, design and mechanism of Ln(1)/Ln(2) redox couple for enhancing photocatalytic activity
Fabrication of top-down gold nanostructures using a damascene process
Fabrication of Cu-CuO-Fe2O3/Fe anti-sticky and superhydrophobic surfaces on an iron substrate with mechanical abrasion resistance and corrosion resistance
Fabrication of Hybrid Collagen Aerogels Reinforced with Wheat Grass Bioactives as Instructive Scaffolds for Collagen Turnover and Angiogenesis for Wound Healing Applications
Fabrication of phytic acid-modified wheat straw platform and its pH-responsive release performance for the pesticide imidacloprid
Fabrication of Thermoresponsive Plasmonic Core-Satellite Nanoassemblies with a Tunable Stoichiometry via Surface-Initiated Reversible Addition-Fragmentation Chain Transfer Polymerization from Silica Nanoparticles
Fabrication of active and passive controls of nanoparticles of unsteady nanofluid flow from a spinning body using HPM
Fabrication and characterization of microstructures created in thermally deposited arsenic trisulfide by multiphoton lithography
Fabrication of TiO2-doped single layer graphitic-C3N4 and its visible-light photocatalytic activity
Fabrication, microstructural and mechanical characterization of Luffa Cylindrical Fibre - Reinforced geopolymer composite
Fabrication, Modeling, and Application of Ceramic-Thermoplastic Composites for Fused Deposition Modeling of Microwave Components
Fabrication, Pressure Testing, and Nanopore Formation of Single Layer Graphene Membranes
Fabrication of Fresnel plates on optical fibres by FIB milling for optical trapping, manipulation and detection of single cells
Fabrication of Arbitrarily Narrow Vertical Dielectric Slots in Silicon Waveguides
Fabrication of Epitaxial Fe3O4 Film on a Si(111) Substrate
Fabrication of user-friendly and biomimetic 1,1 '-carbonyldiimidazole cross-linked gelatin/agar microfluidic devices
Fabrication and Characterization of Three-Dimensional (3D) Core-Shell Structure Nanofibers Designed for 3D Dynamic Cell Culture
Fabrication of BiOI Hierarchical Microspheres with Efficient Photocatalysis for Methylene Blue and Phenol Removal
Fabrication of High Energy Li-Ion Capacitors from Orange Peel Derived Porous Carbon
Fabrication of 3D submicron to micro textured surfaces using backside patterned texturing (BPT)
Fabrication of Crack-Free Photonic Crystal Films on Superhydrophobic Nanopin Surface
Fabrication of ultrasound-responsive microbubbles via coaxial electrohydrodynamic atomization for triggered release of tPA
Fabrication of Single Crystal Gallium Phosphide Thin Films on Glass
Fabrication and characterization of rice bran oil-in-water Pickering emulsion stabilized by cellulose nanocrystals
Fabrication and characterization of nanoparticle MgO/B4C composite by mechanochemical method
Fabrication of Li2NiF4-PEDOT nanocomposites as conversion cathodes for lithium-ion batteries
Fabrication of Ag2S quantum dots decorated TiO2 nanorod arrays for organic/inorganic hybrid solar cells
Fabrication of CZTSSe absorbers by optimized selenization of one-step co-electrodeposited CZTS precursors
Fabrication and optical properties of nanostructured plasmonic Al2O3/Au-Al2O3/Al2O3 metamaterials
Fabricating omnidirectional low-reflection films by nano-imprinting method for boosting solar power generation of silicon-based solar cells
Fabrication and characteristics of graphene oxide/nanocellulose fiber/poly(vinyl alcohol) film
Fabrication of dimple structured surface of A390 Al-Si alloy using turning process
Fabrication and characterization of high performance MSM UV photodetector based on NiO film
Fabrication of 3D Carbon Microelectromechanical Systems (C-MEMS)
Fabrication of PANI-ZnO nanocomposite thin film for room temperature methanol sensor
Fabrication of calibration plate for total internal reflection fluorescence microscopy using roll-type liquid transfer imprint lithography
Fabrication of hollow polymer particles using emulsions of hydrocarbon oil/fluorocarbon oil/aqueous surfactant solution
Fabrication of single-crystal silicon micro pillars on copper foils by nanosecond pulsed laser irradiation
Fabrication of macromolecular gradients in aligned fiber scaffolds using a combination of in-line blending and air-gap electrospinning
Fabrication of multifunctional CaP-TC composite coatings and the corrosion protection they provide for magnesium alloys
Fabrication and characterization of nanocrystalline Al, Co:ZnO thin films by a sol-gel dip coating
Fabrication and Performance for Fe3O4 Nanoparticles Surface Grafted Poly(gamma-benzyl-L-glutamate) Porous Microcarriers
Fabrication and investigation of P3HT:PCBM bulk heterojunction based organic field effect transistors using dielectric layers of PMMA:Ta2O5 nanocomposites
Fabrication and Pressure Sensing Analysis of ZnO/PVDF Composite Microfiber Arrays by Low-voltage Near-field Electrospinning
Fabrication of Ag nanowire/polymer composite nanocables via direct electrospinning
Fabrication of perovskite solar cells using sputter-processed CH3NH3PbI3 films
Fabrication of large area flexible nanoplasmonic templates with flow coating
Fabrication and validation of a low-cost, medium-fidelity silicone injection molded endoscopic sinus surgery simulation model
Fabrication of Supercapacitors from NiCo2O4 Nanowire/Carbon-Nanotube Yarn for Ultraviolet Photodetectors and Portable Electronics
Fabric defect detection based on sparse representation of main local binary pattern
Fabrication and photo-electrocatalytic activity of black TiO2 embedded Ti/PbO2 electrode
Fabrication and characterization of biocompatible hybrid nanoparticles from spontaneous co-assembly of casein/gliadin and proanthocyanidin
Fabrication of mechanically robust superhydrophobic aluminum surface by acid etching and stearic acid modification
Fabrication and effect study of microfluidic SERS chip with integrated surface liquid core optical waveguide modified with nano gold
Fabrication and Characterization of High Performance Intermediate Temperature Alumina Substrate Supported Micro-Tubular SOFCs
Fabrication and characterization of dual-layer hollow-fiber ultrafiltration membranes
Fabrication of Carbon/Silicon Composite as Lithium-ion Anode with Enhanced Cycling Stability
Fabrication and characterization of self-folding thermoplastic sheets using unbalanced thermal shrinkage
Fabrication and enhanced electromagnetic wave absorption properties of sandwich-like graphene@NiO@PANI decorated with Ag particles
Fabrication of Ni-Al/diamond Composite Based on Layered and Gradient Structures of SHS System
Fabrication of super-hydrophobic polypropylene hollow fiber membrane and its application in membrane distillation
Fabrication, characterization and properties of waterborne polyurethane/3-aminopropyltriethoxysilane/multiwalled carbon nanotube nanocomposites via copolycondensation of hydroxyls
Fabrication of PVDF-based blend membrane with a thin hydrophilic deposition layer and a network structure supporting layer via the thermally induced phase separation followed by non-solvent induced phase separation process
Fabrication and properties of graphene-coated polypropylene hollow fiber membranes
Fabrication of freeform progressive addition lenses using a self-developed long stroke fast tool servo
Fabrication of MgO-NiO-Fe2O3 materials and their corrosion in Na3AlF6-AlF3-K3AlF6 bath
Fabrication of high-performance non-doped OLEDs by combining aggregation-induced emission and thermally activated delayed fluorescence
Fabrication of titanium carburizing electrodes for capacitive deionization
Fabrication and characterization of infrared-insulating cotton fabrics by ALD
Fabrication of Hollow TiO2 Spheres and Their Effect on Thermal Insulation Property of Polyacrylate Film
Fabrication of CeVO4 as nanozyme for facile colorimetric discrimination of hydroquinone from resorcinol and catechol
Fabrication of photo-active trans-3-(4-pyridyl)acrylic acid modified chitosan
Fabrication of Microalloy Nitrided Layer on Low Carbon Steel by Nitriding Combined with Surface Nano-Alloying Pretreatment
Fabrication of tungsten nanopowder by combustion-based method
Fabrication of self-enclosed nanochannels based on capillary-pressure balance mechanism
Fabrication of Zinc Oxide Composite Microfibers for Near-Infrared-Light-Mediated Photocatalysis
Fabrication of Ni-Fe LDH/GF anode for enhanced Fe(III) regeneration in fuel cell-assisted chelated-iron dehydrosulfurization process
Fabrication, microstructures and properties of 50 vol.-% SiCp/6061Al composites via a pressureless sintering technique
Fabrication of tubular magnesium oxide nanocrystals via combining ammonium sulfate leaching and precipitation method and it's crystal growth behavior
Fabrication of alpha-FeOOH decorated graphene oxide-carbon nanotubes aerogel and its application in adsorption of arsenic species
Fabrication and anti-oxidation abilities of Cu@Ag core-shell nanoparticles by polyol-assisted method
Fabrication of Calcium Phosphate-Based Nanocomposites Incorporating DNA Origami, Gold Nanorods, and Anticancer Drugs for Biomedical Applications
Fabry病并发甲状腺功能减退症一例
Fabry-Perot干涉仪非全干涉圆环反演中高层大气风场方法研究
Fabrication and characterization of stretchable copper electrodes on poly(dimethylsiloxane) substrate by direct deposition
Fabrication of PEG-Polyimide Copolymer Hollow Fiber Membranes for Gas Separation
Fabrication of Multi-Layer Composite Membranes with Excellent CO2 Permeability and Selectivity for Gas Separation Process
Fabrication of a pumpless, microfluidic skin chip from different collagen sources
Fabrication of three-dimensional metal-graphene network phase change composite for high thermal conductivity and suppressed subcooling phenomena
Fabrication of self-assembled polyaniline tubes and their electrorheological characteristics
Fabrication of highly sensitive capacitive pressure sensors with electrospun polymer nanofibers
Fabrication of transparent conductive tri-composite film for electrochromic application
Fabrication of a transparent conducting electrode based on graphene/silver nanowires via layer-by-layer method for organic photovoltaic devices
Fabrication and characterization of nanofibers of honey/poly(1,4-cyclohexane dimethylene isosorbide trephthalate) by electrospinning
Fabrication of optically-functionalized colorless polyimide patterns with high durability
Fabrication of a liquid monopropellant microthruster with built-in regenerative micro-cooling channels
Fabrication of block copolymer templates by using dually responsive photoresist bottom layers
Fabrication of InGaAs-on-Insulator Substrates Using Direct Wafer-Bonding and Epitaxial Lift-Off Techniques
Fabrication of Au nanoparticle-decorated TiO2 nanotube arrays for stable photoelectrochemical water splitting by two-step anodization
Fabrication of YBa2Cu3O7 twin-boundary-junction dc SQUID by using a focused-ion-beam pattern technique
Fabrication of Standard Calibration Samples for Highly Reliable Atomic Force Microscope Measurements
Fabrication of A/R-TiO2 composite for enhanced photoelectrochemical performance: Solar hydrogen generation and dye degradation
Fabrication of Fullerene-Reinforced Aluminum Matrix Nanocomposites
Fabrication of the High-Entropy Alloys and Recent Research Trends: A Review
Fabrication of Sub-3 nm Feature Size Based on Block Copolymer Self-Assembly for Next-Generation Nanolithography
Fabrication of SnO2 Nanowire Networks on a Spherical Sn Surface by Thermal Oxidation
Fabricating High-Quality 3D-Printed Alloys for Dental Applications
Fabrication of water-dispersible single-walled carbon nanotube powder using N-methylmorpholine N-oxide
Fabrication of a conjugated microporous polymer membrane and its application for membrane catalysis
Fabrication of Crumpled Ball-Like Nickel Doped Palladium-Iron Oxide Hybrid Nanoparticles with Controlled Morphology as Effective Catalyst for Suzuki-Miyaura Coupling Reaction
Fabrication of Cd-free CuInSe2 solar cells using wet processes
Fabrication of magnetic biochar 'as a treatment medium for As(V) via pyrolysis of FeCl3-pretreated spent coffee ground
Fabrication of sintered tungsten by spark plasma sintering and investigation of thermal stability
Fabrication of Pr-PVP-Co-Doped NanoTiO(2) Film on Titanium Matrix with Outstanding Electrocatalytic Reduction Activity for Oxalic Acid
Fabrication of various shaped tungsten micro pin arrays using micro carving technology (vol 47, pg 389, 2017)
Fabrication of a one-dimensional tube-in-tube polypyrrole/tin oxide structure for highly sensitive DMMP sensor applications
Fabrication of CdS-Ag3PO4 heteronanostructures for improved visible photocatalytic hydrogen evolution
Fabrication of ZnO Thin Films by Sol-Gel Spin Coating and Their UV and White-Light Emission Properties
Fabrication of SiCf/SiC and integrated assemblies for nuclear reactor applications
Fabricating interstitial-free steel with simultaneous high strength and good ductility with homogeneous layer and lamella structure
Fabrication and Electromechanical Modeling of a Flexural-Mode MEMS Piezoelectric Transformer in AlN
Fabrication of Innovative Silk/Alginate Microcarriers for Mesenchymal Stem Cell Delivery and Tissue Regeneration
Fabrication of 2000 Series Aluminum Alloy Lap Joint Sheets by Magnetic Pulse Welding and Their Interfacial Microstructure Observations
Fabrication and Compression Properties of Functionally Graded Copper Foam Made Using Friction Powder Sintering and Dissolution
Fabrication of dense alumina layer on Ti alloy hybrid by cold metal transfer and micro-arc oxidation methods
Fabrication of oriented hydroxyapatite film by RF magnetron sputtering
Fabrication of Carbon Fiber Oriented Al-Based Composites by Hot Extrusion and Evaluation of Their Thermal Conductivity
Fabrication and CO2 permeation properties of amine-silica membranes using a variety of amine types
Fabrication of piezoelectric vibration energy harvester using coatable PolyVinylidene DiFluoride and its characterisation
Fabrication of porous tungsten oxide via anodizing in an ammonium nitrate/ethylene glycol/water mixture for visible light-driven photocatalyst
Fabrication of g-C3N4/SiO2-Au composite nanofibers with enhanced visible photocatalytic activity
Fabrication of propylsulfonic acid functionalized SiO2 core/PMO shell structured PrSO3H-SiO2@Si(R)Si nanospheres for the effective conversion of D-fructose into ethyl levulinate
Fabrication and electrochemical performance of unprecedented POM-based metal-carbene frameworks
Fabrication of vanadium oxide, with different valences of vanadium, -embedded carbon fibers and their electrochemical performance for supercapacitor
Fabrication of a magnetic nanocomposite photocatalysts Fe3O4@ZIF-67 for degradation of dyes in water under visible light irradiation
Fabrication of silica nanoparticle-supported copper quantum dots and the efficient catalytic Ullmann coupling reaction
Fabrication of cellulose nanocrystal from Carex meyeriana Kunth and its application in the adsorption of methylene blue
Fabrication and mechanical properties of WC-Al2O3 cemented carbide reinforced by CNTs
Fabrication of carbon nanospheres by the pyrolysis of polyacrylonitrile-poly(methyl methacrylate) core-shell composite nanoparticles
Fabricating hydroxyl anion conducting membranes based on poly(vinyl alcohol) and bis(2-chloroethyl) ether-1,3-bis[3-(dimethylamino)propyl] urea copolymer with linear anion-exchange sites for polymer electrolyte membrane fuel cell
Fabrication of wool keratin/polyethylene oxide nano-membrane from wool fabric waste
Fabrication of complex-shaped hydrogels by diffusion controlled gelation of nanocellulose crystallites
Fabrication of hard-shell microcapsules containing inorganic materials
Fabrication of Redox-Responsive Degradable Capsule Particles by a Shell-Selective Photoinduced Cross-Linking Approach from Spherical Polymer Particles
Fabrication of three-dimensional microstructures in positive photoresist through two-photon direct laser writing
Fabrication of Hollow Silica Microspheres with Orderly Hemispherical Protrusions and Capability for Heat-Induced Controlled Cracking
Fabrication of lightweight microcellular foams in injection-molded polypropylene using the synergy of long-chain branches and crystal nucleating agents
Fabrication of ZnO homojunction-based color-switchable bidirectional LEDs by using a hydrothermal growth method
Fabrication of nanoporous GeOx supported Ag nanoparticle composite by dealloying of (Al0.6Ge0.3Mn0.1)(98)Ag-2 amorphous alloy
Fabrication of highly luminescent and concentrated quantum dot/poly(methyl methacrylate) nanocomposites by matrix-free methods
Fabrication and Characterization of 2 x 2 Microfiber Coupler for Generating Two Output Stable Multiwavelength Fiber Lasers
Fabrication and characterization of three-dimensional poly(lactic acid-co-glycolic acid), atelocollagen, and fibrin bioscaffold composite for intervertebral disk tissue engineering application
Fabrication of Polymer Film Immobilizing Pd Nano Particles by Plasma-Assisted Method and Evaluation of its Catalytic Activity
Fabrication of BaSi2 thin films capped with amorphous Si using a single evaporation source
Fabricating Bulk Nanocrystalline Ni-W-B Alloys by Electrodeposition
Fabrication and physical properties of glass-fiber-reinforced thermoplastics for non-metal-clasp dentures
Fabrication of Cu2ZnSnS4 thin films using a Cu-Zn-Sn-O amorphous precursor and supercritical fluid sulfurization
Fabrication of Porous MoS2 with Controllable Morphology and Specific Surface Area for Hydrodeoxygenation
Fabrication of N-doped and shape-controlled porous monolithic carbons from polyacrylonitrile for supercapacitors
Fabrication of poly(ethylene glycol) hydrogels containing vertically and horizontally aligned graphene using dielectrophoresis: An experimental and modeling study
Fabrication of atomically abrupt interfaces of single-phase TiH2 and Al2O3
Fabrication of tetragonal FeSe-FeS alloy films with high sulfur contents by alternate deposition
Fabrication of Zr2WP2O12/ZrV0.6P1.4O7 composite with a nearly zero-thermal-expansion property
Fabrication and characterization of L1(0)-ordered FeNi thin films
Fabrication of pi-type flexible thermoelectric generators using an electrochemical deposition method for thermal energy harvesting applications at room temperature
Fabrication of planarly-oriented polycrystalline thin films of smectic liquid crystalline organic semiconductors
Fabrication and cold test of dielectric assist accelerating structure
Fabrication and characterization of sputtered Cu2O:N/c-Si heterojunction diode
Fabrication of mechanically stable Au-coatings on probes of atomic force microscopes for nano-mechanical and -optical measurements
Fabrication and Photocatalytic Performance of Au/ZnO Layered Structure on Silk Textile for Flexible Device Applications
Fabrication of MnO2/TiO2 nano-tube arrays photoelectrode and its enhanced visible light photoelectrocatalytic performance and mechanism
Fabrication of platinum-loaded cobalt oxide/molybdenum disulfide nanocomposite toward methane gas sensing at low temperature
Fabrication of Highly Crosslinked Gelatin Hydrogel and Its Influence on Chondrocyte Proliferation and Phenotype
Fabrication of photo-electrochemical biosensors for ultrasensitive screening of mono-bioactive molecules: the effect of geometrical structures and crystal surfaces
Fabrication of Mesoporous Cu Films on Cu Foils and Their Applications to Dopamine Sensing
Fabrication of a Large-Area Superhydrophobic SiO2 Nanorod Structured Surface Using Glancing Angle Deposition
Fabrication of Highly Ordered Silicon Nanowires by Metal Assisted Chemical Etching Combined with a Nanoimprinting Process
Fabrication of Thermally Stable Silver-Organic Complex (TS-SOC) Based Conductible Filament Materials for 3D Printing
Fabrication of -cyclodextrin-mediated single bimolecular inclusion complex: characterization, molecular docking, in-vitro release and bioavailability studies for gefitinib and simvastatin conjugate
Fabrication of thin targets of Gd-160 by thermal evaporation technique
Fabrication and optical characterizations of lead calcium titanate borosilicate glasses
Fabrication and Characterization of Polyaniline Based Nano-Composite with Their Physico-Chemical and Environmental Applications
Fabrication and optimization of Cu(II) ion selective membrane electrode
Fabrication of acyclovir-loaded flexible membrane vesicles (FMVs): evidence of preclinical efficacy of antiviral activity in murine model of cutaneous HSV-1 infection
Fabrication of ozone sensors on porous glass substrates using gold and silver thin films nanoislands
Fabrication of a novel Ferrocene/Thionin bimediator modified electrode for the electrochemical determination of dopamine and hydrogen peroxide
Fabrication and characterization of a 3D Positive ion detector and its applications
Fabrication and structure of lead-free BCTZ-MWCNTs composite and its application in energy harvesting
Fabrication and properties of in-situ pressureless-sintered ZrB2/B4C composites
Fabrication of In2S3/Zn2GeO4 composite photocatalyst for degradation of acetaminophen under visible light
Fabrication of Co@SiO2@C/Ni submicrorattles as highly efficient catalysts for 4-nitrophenol reduction
Fabrication of anatase TiO2 tapered tetragonal nanorods with designed {100}, {001} and {101} facets for enhanced photocatalytic H-2 evolution
Fabrication, Pore Structures and Mechanical Properties of (TiB2-Al2O3)/NiAl Porous Composites
Fabrication of metalosomes (metal containing cationic liposomes) using single chain surfactants as a precursor via formation of inorganic organic hybrids
Fabrication of thin targets for nuclear reaction studies at IUAC
Fabrication of MTA-like cements and their mechanical, handling, and setting properties in relation to the choice of setting solution
Fabricating Al2O3-nanopores array by an ultrahigh voltage two-step anodization technique: Investigating the effect of voltage rate and Al foil thickness on geometry and ordering of the array
Fabrication and machining of ceramic composites A review on current scenario
Fabrication and properties evaluation of functionally graded Ni-NCZ composite coating
Fabrication of random and aligned-oriented cellulose acetate nanofibers containing betamethasone sodium phosphate: structural and cell biocompatibility evaluations
Fabrication of Amplified Nanostructure Based Sensor for Analysis of N-Acetylcysteine in Presence of High Concentration Folic Acid
Fabrication of novel solid-state supercapacitor using a Nafion polymer membrane with graphene oxide/multiwalled carbon nanotube/polyaniline
Fabrication of a novel aptasensor based on three-dimensional reduced graphene oxide/polyaniline/gold nanoparticle composite as a novel platform for high sensitive and specific cocaine detection
Fabrication and characterisation of novel ZnO/MWCNT duplex coating deposited on Mg alloy by PVD coupled with dip-coating techniques
Fabrication of a new Al-Mg/graphene nanocomposite by multi-pass friction-stir processing: Dispersion, microstructure, stability, and strengthening
Fabrication of nanostructured cobalt ferrite coatings using suspension plasma spraying (SPS) technique
Fabrication of self-organized precisely tunable plasmonic SERS substrates via glancing angle deposition
Fabrication and characterization of water-dispersed chitosan nanofiber/poly(ethylene glycol) diacrylate/calcium phosphate-based porous composites
Fabrication of Phospholipid Vesicle-Interacted Calcium Phosphate Films with Sterilization Stability
Fabrication of PES/NaX nanocomposite nanofibrous adsorbent for the removal of Cu2+, Co2+ and Fe2+ from aqueous solutions
Fabrication of (Cu,Ag)(2)SnS3 thin films by sulfurization for solar cells
Fabrication and anisotropic electronic property for oriented Li1+x-yNb1-x-3yTix+4yO3 solid solution by slip casting in a high magnetic field
Fabrication of biosensor based on Chitosan-ZnO/Polypyrrole nanocomposite modified carbon paste electrode for electroanalytical application
Fabrication of < 110 > grain-oriented 0.15BaTiO(3)-0.85(Bi0.5Na0.5)TiO3 ceramics by a reactive templated grain growth method
Fabrication of high-quality strain relaxed SiGe(110) films by controlling defects via ion implantation
Fabrication of robust gold superhydrophobic surface on iron substrate with properties of corrosion resistance, self-cleaning and mechanical durability
Fabrication, self-assembly and biomedical applications of luminescent sodium hyaluronate with aggregation-induced emission feature
Fabrication and biological imaging of polyhedral oligomeric silsesquioxane cross-linked fluorescent polymeric nanoparticles with aggregation-induced emission feature
Fabrication of water dispersible and biocompatible AIE-active fluorescent polymeric nanoparticles through a "one-pot" Mannich reaction
Fabrication and Characterization of 3D-Printed Highly-Porous 3D LiFePO4 Electrodes by Low Temperature DirectWriting Process
Fabrication of Gasar ingots with straight parallel pores by a Bridgman method
Fabrication of free-standing membranes with tunable pore structures based on the combination of electrospinning and self-assembly of block copolymers
Fabrication of polygonal nanoholes by localized mask-free wet anisotropic etching
Fabrication of optical waveguides inside transparent silica xerogels containing PbS quantum dots using a femtosecond laser
Fabrication of Ta-Nb-Al2O3 seal composites by laser radiation
Fabrication of p-type SrCuSeF/n-type In2O3:Sn bilayer ohmic tunnel junction and its application to the back contact of CdS/CdTe solar cells
Fabrication of disk droplets and evaluation of their lasing action
Fabrication of high efficiency sputtered CdS:O/CdTe thin film solar cells from window/absorber layer growth optimization in magnetron sputtering
Fabrication and characterization of glass and glass-ceramic from rice husk ash as a potent material for opto-electronic applications
Fabrication of Ce doped hydroxyapatite nanoparticles based non-enzymatic electrochemical sensor for the simultaneous determination of norepinephrine, uric acid and tyrosine
Fabricating Necklace-, Tower-, and Rod-Shaped In2O3 Nanostructures by Controlling Saturation Kinetics of Catalyst Droplets in a Vapor-Liquid-Solid Process
Fabrication and characterization of chalcogenide polarization-maintaining fibers based on extrusion
Fabrication and characterization of magnetite-gadolinium borate nanocomposites
Fabrication of Hierarchical Macroporous Biocompatible Scaffolds by Combining Pickering High Internal Phase Emulsion Templates with Three-Dimensional Printing
Fabrication of high quality composite laminates by pressurized and heated-VARTM
Fabrication and characterisation of nanocrystalline graphite MEMS resonators using a geometric design to control buckling
Fabrication and electrochemical properties of graphene/copper-nickel solid solution reinforced polyaniline composite
Fabrication of Nanostructured Omniphobic and Superomniphobic Surfaces with Inexpensive CO2 Laser Engraver
Fabrication of fillable microparticles and other complex 3D microstructures
Fabricating Core-Shell WC@C/Pt Structures and its Enhanced Performance for Methanol Electrooxidation
Fabrication, characterization, and antifungal activity of sodium hyaluronate-TiO2 bionanocomposite against Aspergillus niger
Fabrication of the novel hydrogel based on waste corn stalk for removal of methylene blue dye from aqueous solution
Fabrication of Amorphous Mesoporous Ni(OH)(2) Hollow Spheres with Waxberry-Like Morphology for Supercapacitor Electrodes
Fabrication and characterisation of magnetic graphene oxide incorporated Fe3O4@polyaniline for the removal of bisphenol A, t-octyl-phenol, and alpha-naphthol from water
Fabrication and design of bioactive agent coated, highly-aligned electrospun matrices for nerve tissue engineering: Preparation, characterization and application
Fabrication of a novel impedimetric sensor based on L-Cysteine/Cu(II) modified gold electrode for sensitive determination of ampyra
Fabrication of a novel, sensitive and selective electrochemical sensor for antibiotic cefotaxime based on sodium montmorillonite nonoclay/electroreduced graphene oxide composite modified carbon paste electrode
Fabrication and characterisation of TiO2 anti-reflection coatings with gradient index
Fabrication of advanced targets for laser driven nuclear fusion reactions through standard microelectronics technology approaches
Fabrication of Ni @ Ti core-shell nanoparticles by modified gas aggregation source
Fabrication of geopolymer bricks using ceramic dust waste
Fabrication of efficient TiO2-RGO heterojunction composites for hydrogen generation via water-splitting: Comparison between RGO, Au and Pt reduction sites
Fabrication of an L-glutathione sensor based on PEG-conjugated functionalized CNT nanocomposites: a real sample analysis
Fabrication and characterization of CeO2-TiO2-Fe2O3 magnetic nanoparticles for rapid removal of uranium ions from industrial waste solutions
Fabrication and characterization of functionally graded nanoclay/glass fiber/epoxy hybrid nanocomposite laminates
Fabrication and characterization of magnetic porous silicon with curie temperature above room temperature
Fabrication and properties of integrated magnetic inductors using an RLC model which takes into account eddy current
Fabrication, tuning and optimization of poly (acrilonitryle) nanofiltration membranes for effective nickel and chromium removal from electroplating wastewater
Fabrication techniques enabling ultrathin nanostructured membranes for separations
Fabrication of phosphonic acid films on nitinol nanoparticles by dynamic covalent assembly
Fabrication of Solid-State Multilayer Glass Capacitors
Fabrication and Characterization of Biopolymer Nanocomposites From Natural Resource Materials
Fabry-perot modes enhanced pump-probe coupling in gold micro-disk patterned ruby thin film
Fabrication and characterization of low-cost, bead-free, durable and hydrophobic electrospun membrane for 3D cell culture
Fabrication of porous scaffolds with decellularized cartilage matrix for tissue engineering application
Fabrication and investigation of a new highly humidity stable nanocrystalline perovskite, tetramethylammonium lead triiodide to be used in solar cells
Fabrication and characterization of in-situ Al/Nb metal/intermetallic surface composite by friction stir processing
Fabrication, characterization, and stability of supported single-atom catalysts
Fabrication of Cu2ZnSnS4 thin films by simple solution method using citric acid as complexing agent
Fabrication of Bi modified Bi2S3 pillared g-C3N4 photocatalyst and its efficient photocatalytic reduction and oxidation performances
Fabrication of epitaxial ferroelectric BiFeO3 nanoring structures by a two-step nano-patterning method
Fabrication and catalytic properties of highly ordered single-walled carbon nanotube arrays coated with photoelectro-polymerized bisphenol A films for visible-light-enhanced ascorbate fuel cells
Fabrication of One-Dimensional Mesoporous CoP Nanorods as Anode Materials for Lithium-Ion Batteries
Fabrication and comparative study of DC and low frequency noise characterization of GaN/AlGaN based MOS-HEMT and HEMT
Fabrication of Copper Surfaces with Structures Mirroring Those of Wood via Electroplating and Their Hydrophobic Properties
Fabrication of AI GaN nanorods with different AI compositions for emission enhancement in UV range
Fabrication of Salecan/poly(AMPS-co-HMAA) semi-IPN hydrogels for cell adhesion
Fabrication of flexible thin polyurethane membrane for tissue engineering applications
Fabrication of N-doped Carbon Derived from poly(acrylonitrile)-ionic Liquid Copolymer and Application in Lithium Ion Batteries
Fabrication and characterization of SmCo5/Nb ferromagnetic/superconducting hybrid thin films grown by RF magnetron sputtering technique
Fabrication of a CuBi2O4/g-C3N4 p-n heterojunction with enhanced visible light photocatalytic efficiency toward tetracycline degradation
Fabrication of novel nanoporous copper powder catalyst by dealloying of ZrCuNiAl amorphous powders for the application of wastewater treatments
Fabrication of Lead-Free (CH3NH3)(3)Bi2I9 Perovskite Photovoltaics in Ethanol Solvent
Fabrication, characterization, and invitro study of zinc substituted hydroxyapatite/silk fibroin composite coatings on titanium for biomedical applications
Fabrication of letrozole formulation using chitosan nanoparticles through ionic gelation method
Fabrication of Inner Grooved Hollow Fiber Membranes Using Microstructured Spinneret for Nerve Regeneration
Fabric heterogeneity in the Mojave lower crust and lithospheric mantle in Southern California
Fabrication and Characterization of Magnesium Ferrite-Based PCL/Aloe Vera Nanofibers
Fabrication of an electrochemical sensor based on a graphene/Au composite for the determination of clenbuterol in beef samples
Fabrication and densification of high performance carbon nanotube/copper composite fibers
Fabrication of oxidase-like hollow MnCo2O4 nanofibers and their sensitive colorimetric detection of sulfite and L-cysteine
Fabrication and durable antibacterial properties of 3D porous wet electrospun RCSC/PCL nanofibrous scaffold with silver nanoparticles (vol 414, pg 52, 2017)
Fabrication of Novel Electrochemical Biosensor Based on Graphene Nanohybrid to Detect H2O2 Released from Living Cells with Ultrahigh Performance
Fabrication of Biosensor for Selective Electrochemical Determination of Glycated Hemoglobin
Fabrication of Plasmonic Nanoparticles on a Wave Shape PDMS Substrate
Fabrication of precisely aligned microwire and microchannel structures: Toward heat stimulation of guided neurites in neuronal cultures
Fabrication and electrical characterization of multi-layer capacitive touch sensors on flexible substrates by additive e-jet printing
Fabrication and testing of a 5-node micro-pocket fission detector array for real-time, spatial, iron-wire port neutron-flux monitoring
Fabrication of an arbitrary-shaped and nitrogen-doped graphene aerogel for highly compressible all solid-state supercapacitors
Fabrication of Electrochemical Immunosensor for Interferon-gamma Determination and Its Application of Tuberculosis Diagnosis
Fabrication of core-shell TiO2@SiO2 composites and investigation on its photocatalytic performance of methyl orange from aqueous solution
Fabrication and Properties of Superhydrophobic Surfaces Based on Low-Density Polyethylene/Ethylene-Propylene-Diene Terpolymer Thermoplastic Vulcanizate
Fabrication of anisotropic PTFE superhydrophobic surfaces using laser microprocessing and their self-cleaning and anti-icing behavior
Fabrication and characterization of highly transparent Er:Y2O3 ceramics with ZrO2 and La2O3 additives
Fabrication of phase-shifted long-period fibre grati using electric-arc technique
Fabrication of rGO/g-C3N4 composites via electrostatic assembly towards charge separation control
Fabrication of Nanoporous Nickel-Iron Hydroxylphosphate Composite as Bifunctional and Reversible Catalyst for Highly Efficient Intermittent Water Splitting
Fabrication of carbon nanotube reinforced AZ91D composite with superior mechanical properties
Fabrication of highly stable and sensitive electrochemical sensor from hemoglobin-Au nanocomposites and its analytical applications
Fabrication of poly(epsilon-caprolactone) tissue engineering scaffolds with fibrillated and interconnected pores utilizing microcellular injection molding and polymer leaching
Fabrication of a non-semiconductor photocatalytic system using dendrite-like plasmonic CuNi bimetal combined with a reduced graphene oxide nanosheet for near-infrared photocatalytic H-2 evolution
Fabrication of completely water soluble graphene oxides graft poly citric acid using different oxidation methods and comparison of them
Fabrication of Biocompatible, Functional, and Transparent Hybrid Films Based on Silk Fibroin and Epoxy Silane for Biophotonics
Fabrication, chemical modification, and topographical patterning of reactive gels assembled from azlactone-functionalized polymers and a diamine
Fabrication, Characterization, and Analysis of Ge/GeSn Heterojunction p-Type Tunnel Transistors
Fabrication and characterization of single junction GaAs solar cells on Si with As-doped Ge buffer
Fabrication, characterization and comparison of composite magnetic materials for high efficiency integrated voltage regulators with embedded magnetic core micro-inductors
Fabrication and characterization of Tm3+-Ho3+ co-doped tellurite glass microsphere lasers operating at similar to 2.1 mu m
Fabrication of heterostructured BiOBr/Bi24O31Br10/TiO2 photocatalyst by pyrolysis of MOF composite for dye degradation
Fabrication of submicron chalcogenide glass photonic crystal by resist-free nanoimprint lithography
Fabrication and characterization of mid-infrared emission of Pr3+ doped selenide chalcogenide glasses and fibres
Fabrication and Electrocatalytic Activity of Hierarchically Nanoporous Pd-HSiO1.5/Ni-Co Composite Electrode for Hydrogen Evolution
Fabrication of SiOx Ultra-Fine Nanoparticles by IR nanosecond laser ablation as anode materials for lithium ion battery
Fabrication of mechanically robust superhydrophobic steel surface with corrosion resistance property
Fabrication and photocatalytic activity of floating type Ag3PO4/ZnFe2O4/FACs photocatalyst
Fabrication of Hierarchical Porous Carbon Nanoflakes for High-Performance Supercapacitors
Fabrication of patterned carbon nanotubes with adjustable arrays through controlled mesoscopic dewetting (vol 73, pg 83, 2013)
Fabrication of a Ti/TiO2/NiO electrode for electrocatalytic nitrite removal
Fabrication of electronic nose system and exploration on its applications in mango fruit (M-indica cv. Datainong) quality rapid determination
Fabry disease: characterisation of the plasma proteome pre- and post-enzyme replacement therapy
Fabrication of AO/LDH fluorescence composite and its detection of Hg2+ in water
Fabrication and characterization of composites comprising (CHA) SAPO-34 with (MFI)ZSM-5 topologies and their catalytic performances on MTO reaction
Fabrication of thermo-responsive cotton fabrics using poly(vinylcaprolactam-co-hydroxyethyl acrylamide) copolymer
Fabrication of capillary-like structures with Pluronic F127 (R) and Kerria lacca resin (shellac) in biocompatible tissue-engineered constructs
Fabrication of polyurethane and thermoplastic polyurethane nanofiber by controlling the electrospinning parameters
Fabrication, Application and Mathematical Modeling of a new Diamine/Trimesoyl Chloride Reverse Osmosis Composite Membrane for Copper Sulfate Desalination from Wastewaters
Fabrication of Electrochemical Sensor for Hyaluronic Acid Determination
Fabrication of anthocyanin-sensitized nanocrystalline titanium dioxide solar cells using supercritical carbon dioxide
Fabrication of Flexible and Efficient Dye Sensitized Solar Cells Using Modified TiO2 Electrode at Low-Temperature Annealing Process
Fabrication and testing of a novel biopotential electrode array
Fabrication of TiO2-Reduced Graphene Oxide Nanorod Composition Spreads Using Combinatorial Hydrothermal Synthesis and Their Photocatalytic and Photoelectrochemical Applications
Fabrication of Poly(Lactic Acid)/Graphene Oxide/Stearic Acid Composites With Improved Tensile Strength
Fabrication of Mesoporous Polystyrene Films with Controlled Porosity and Pore Size by Solvent Annealing for Templated Syntheses
Fabrication and characterization of 395 nm ultraviolet GaN light-emitting diodes
Fabrication of single Ga-doped ZnS nanowires as high-gain photosensors by focused ion beam deposition
Fabrication, Conductive Properties and Photocatalytic Application of Silver Nanorods
Fabrication of covalently bonded nanostructured thin films of epoxy resin and polydimethylsiloxane for oil adsorption
Fabrication of CuO nanoparticles for structural, optical and dielectric analysis using chemical precipitation method
Fabrications of Nanocomposite Gold-Polymer Metamaterials Consisting of Periodic Microcavities with Tunable Optical Properties
Fabrication of porous polymeric structures using a simple sonication technique for tissue engineering
Fabrication of Cu2O nanocrystalline thin films photosensor prepared by RF sputtering technique
Fabrication of microgrooves with varied cross-sections by electrochemical micromachining
Fabrication of WO3@g-C3N4 with core@shell nanostructure for enhanced photocatalytic degradation activity under visible light
Fabrication of composite nanofiltration membrane by incorporating attapulgite nanorods during interfacial polymerization for high water flux and antifouling property
Fabrication of nanofiltration membranes via stepwise assembly of oligoamide on alumina supports: Effect of number of reaction cycles on membrane properties
Fabrication of microchannels in polycrystalline diamond using pre-fabricated Si substrates
Fabrication and Characterization of Ultra-wide Bandgap AlN-Based Schottky Diodes on Sapphire by MOCVD
Fabrication and Properties of Ag/Mg0.2Zn0.80/La0.67Ca0.33MnO/p(+)-Si Resistive Switching Heterostructure Devices
Fabrication of self-assembled folate-biotin-quaternized starch nanoparticles as co-carrier of doxorubicin and siRNA
Fabrication of single Pt@Au nanowire electrodes for monitoring hydrogen peroxide released from living cells
Fabrication of Isomorphous Co3O4@Co3O4 Hierarchical Core-Shell Nanoneedles for High-Performance Supercapacitors
Fabrication and In Vitro Study of Tissue-Engineered Cartilage Scaffold Derived from Wharton's Jelly Extracellular Matrix
Fabrication of Copper Electrode on Flexible Substrate Through Ag+-Based Inkjet Printing and Rapid Electroless Metallization
Fabrication of PMDA-ODA hollow fibers with regular cross-section morphologies and study on the formation mechanism
Fabrication and Comparison of Bumpless Wafer-on-Wafer Integration and Bump-Containing Chip-on-Chip Integration
Fabrication of Ni-5at%W Long Tapes for Coating Conductors
Fabrication of Ultrafine-structured WC-eta Coating and Its Corrosion Resistance to Molten Zinc
Fabrication of CeO2/rGO Nanocomposites with Oxidase-like Activity and Their Application in Colorimetric Sensing of Ascorbic Acid
Fabrication and photoelectric properties of Cu2FeSnS4(CFTS) and Cu2FeSn(S,Se)(4)(CFTSSe) thin films
Fabrication of Polydimethylsiloxane films with special surface wettability by 3D printing
Fabrication of superhydrophobic Pt3Fe/Fe surface for its application
Fabrication of homogeneous and enhanced soybean protein isolate-based composite films via incorporating TEMPO oxidized nanofibrillated cellulose stablized nano-ZnO hybrid
Fabrication and characterization of microarc oxidation films on Ti-39Nb-6Zr alloy at different voltages in KOH electrolyte
Fabrication of diffraction based security elements using direct laser interference patterning
Fabrication of multi-scale periodic surface structures on Ti-6Al-4V by direct laser writing and direct laser interference patterning for modified wettability applications
Fabrication and characterization of abrupt TiO2-SiOx core-shell nanowires by a simple heat treatment
Fabrication and Diverse Ring-Expansion Nanocatalysis of Functionalized Pt-Nanoparticles to a General Synthesis of Pyrrolines: A 3D-Mid-IR Study
Fabrication of nanoporous sodium niobate coating on 316L SS for orthopaedics
Fabrication of magnetic particles imprinted cellulose based biocomposites for chromium(VI) removal
Fabrication and characterization of Ti6Al4V/TiB2-TiC composites by powder metallurgy method
Fabry disease and incidence of cancer
Fabrication and thermal analysis of epoxy resin-carbon fiber fabric composite plate-coil heat exchangers
Fabrication and Characterization of Polyphosphazene/Calcium Phosphate Scaffolds Containing Chitosan Microspheres for Sustained Release of Bone Morphogenetic Protein 2 in Bone Tissue Engineering
Fabrication and characterization of electrospun gelatin nanofibers crosslinked with oxidized phenolic compounds
Fabrication of flower-like micro/nano dual scale structured copper oxide surfaces: Optimization of self-cleaning properties via Taguchi design
Fabrication and Characterization of Ni-SiC Nanocomposite Coatings on Al Substrates by Ball Impact Deposition Method
Fabrication of magnetite nanoparticles modified with copper based metal organic framework for drug delivery system of letrozole
Fabrication of Ce3+ doped Gd3Ga3Al2O12 ceramics by reactive sintering method
Fabrication of metallic bipolar plates in PEM fuel cell using semi-stamp rubber forming process
Fabrication of Hollow and Nonhollow SiO2 Nanofibers for Removal of Cationic Dyes from Aqueous Solutions
Fabrication of new high temperature Gd2O3-ZrO2 insulation coatings on Ag tapes by sol-gel technique for magnet technology
Fabrication of high-performance metal ion doped iron oxide electrode for supercapacitor applications through a novel platform
Fabrication of SiC and Si3N4 Whiskers under Pressurized Ar and N-2 Atmosphere through High-Energy Ball Milling
Fabrication of a %26quot;Green%26quot; and Low-Cost Screen-Printed Graphene Sensor and Its Application to the Determination of Caffeine by Adsorptive Stripping Voltammetry
Fabrication and morphology control of high strength lightweight mullite whisker network
Fabrication of highly dispersed NiTiO3@TiO2 yellow pigments with enhanced NIR reflectance
Fabrication and strengthening of porous Si3N4 ceramics by replacement of oxide phase with Si3N4 at grain boundary through carbothermal nitridation
Fabrication and Applications of Flexible Stacked Devices via Open-Hole Integration
Fabrication of a robust and highly sensitive nitrate biosensor based on directly grown zinc oxide nanorods on a silver electrode
Fabrication of N-doped & SnO2-incorporated activated carbon to enhance desalination and bio-decontamination performance for capacitive deionization
Fabrication and mechanical properties of binderless-WC and WC-CNT hard materials by pulsed current activated sintering Method
Fabrication of single-walled carbon nanohorns incorporated a monolithic column for capillary electrochromatography
Fabrication and optical property of ZnS:Mn2+ Nanowires/SiO2 Core/Shell Nanocomposites
Fabrication and characterization of hollow starch nanoparticles by gelation process for drug delivery application
Fabrication of NiO quantum dot-modified ZnO nanorod arrays for efficient photoelectrochemical water splitting
Fabrication of highly flexible transparent conductive film with a sandwich-structure consisted of graphene/silver nanowire/graphene
Fabrication of rGO-GO Long Period Fiber Grating Using Laser Reduction Method
Fabrication of non-wetting surfaces on zinc surface as corrosion barrier
Fabrication of Hierarchical Hemisphere Ag Substrate Using Transfer Imprinting with No Pressure Under Ambient Temperature
Fabrication and characterization of electrospun porous cellulose acetate nanofibrous mats incorporated with capric acid as form-stable phase change materials for storing/retrieving thermal energy
Fabrication of dopamine modified polylactide-poly(ethylene glycol) scaffolds with adjustable properties
Fabrication of hierarchical TiO2 nanofibers by microemulsion electrospinning for photocatalysis applications
Fabrication and characterization of a robust and strong bacterial promoter from a semi-rationally engineered promoter library in Bacillus subtilis
Fabrication of core/shell structured SiO2/Zn2SiO4:Mn2+ composite and its photoluminescence properties
Fabrication of bioinspired dry adhesives by CNC machining and replica molding
Fabrication and in vitro evaluation of diclofenac sodium microparticles
Fabrication of Subnanometer-Precision Nanopores in Hexagonal Boron Nitride
Fabrication of drug-loaded hydrogels with stereolithographic 3D printing
Fabrication of an Open Microfluidic Device for Immunoblotting
Fabrication of 3D graphene/CdTe quantum dots composite through electrophoretic deposition and its electrical properties
Fabrication of chromophore molecule-linked azo polymer as waveguide material of polymeric thermo-optic digital optical switch
Fabrication and photocatalytic property of magnetic NiFe2O4/Cu2O composites
Fabrication of BiOCl@CdS/Ag2CO3 heterojunctions with enhanced photocatalytic activity under visible-light irradiation
Fabric defect inspection based on isotropic lattice segmentation
Fabrication of Tannin-Based Dithiocarbamate Biosorbent and Its Application for Ni(II) Ion Removal
Fabrication of stable photoanode built from ZnO nanosheets in situ decorated with carbon film
Fabrication of Tamarindus indica seeds extract loaded-cream for photo-aged skin: Visioscan (R) studies
Fabrication and characterization of calix[4]arene Langmuir-Blodgett thin film for gas sensing applications
Fabrication of Highly Sensitive and Selective Electrochemical Sensors for Detection of Paracetamol by Using Piroxicam Stabilized Gold Nanoparticles
Fabrication and characterization of ZnO/MnO2 and ZnO/TiO2 flexible nanocomposites for energy storage applications
Fabrication and Significant Photoelectrochemical Activity of Titania Nanotubes Modified with Thin Indium Tin Oxide Film
Fabrication and thermophysical property characterization of UN/U3Si2 composite fuel forms
Fabrication of Artificial Leaf to Develop Fluid Pump Driven by Surface Tension and Evaporation
Fabrication of Single-Layer Touch Screen Panel with Corrosion Resistant Metal-Mesh Electrodes
Fabrication of compressible and recyclable macroscopic g-C3N4/GO aerogel hybrids for visible-light harvesting: A promising strategy for water remediation
Fabrications and mechanical behaviors of amorphous fibers
Fabrication and electrochemical properties of a graphene-enhanced hierarchical porous network of Fe3O4/carbon nanobelts
Fabrication of columnar structured lanthanum zirconate films by laser CVD
Fabrication of Ti-doped SnO2/RGO composites as anode materials with high stability for lithium-ion batteries
Fabrication and electrical characterizations of graphene nanocomposite thin film based heterojunction diode
Fabrication and performance characterization of novel zinc oxide filled cross-linked PVA/PEG 600 blended membranes for CO2/N-2 separation
Fabrication and characterization of a 3D bioprinted nanoparticle-hydrogel hybrid device for biomimetic detoxification
Fabrication of CdMgTe/Cd(Mn)Te nanostructures with the application of high-resolution electron-beam lithography
Fabricating porous, photo-crosslinked poly(trimethylene carbonate) membranes using temperature-induced phase separation
Fabrication and Postmodification of Nanoporous Liquid Crystalline Networks via Dynamic Covalent Chemistry
Fabrication of Colloidal Laves Phases via Hard Tetramers and Hard Spheres: Bulk Phase Diagram and Sedimentation Behavior
Fabrication and characterization of low pressure micro-resistojets with integrated heater and temperature measurement
Fabrication of Multi-Layered Lidocaine and Epinephrine-Eluting PLGA/Collagen Nanofibers: In Vitro and In Vivo Study
Fabrication of CeO2-MOx (M = Cu, Co, Ni) composite yolk-shell nanospheres with enhanced catalytic properties for CO oxidation
Fabrication and corrosion behavior of TiO2 nanotubes on AZ91D magnesium alloy
Fabrication of Yolk-Shell Cu@C Nanocomposites as High-Performance Catalysts in Oxidative Carbonylation of Methanol to Dimethyl Carbonate
Fabrication of double-shelled Fe2O3/CeO2 boxes from CeO2-modified Prussian blue and their enhanced performances for CO removal and water treatment
Fabrication and characterization of Ti-13Nb-13Zr alloy with radial porous Ti-HA coatings for bone implants
Fabrication, characterization, and application of polyester/wood flour composites
Fabrication and optically pumped lasing of plasmonic nanolaser with regular ZnO/GaN nanoheterojunction array
Fabrication and Electromagnetic Properties of Conjugated NH2-CuPc@Fe3O4
Fabrication of Attapulgite Coated Membranes for Effective Separation of Oil-in-Water Emulsion in Highly Acidic, Alkaline, and Concentrated Salty Environments
Fabrication and Characterization of Aluminum-Doped ZnO Nanosheets for Field Emitter Application
Fabrication of 3D hierarchical CoSnO3@CoO pine needle-like array photoelectrode for enhanced photoelectrochemical properties
Fabrication of Octahedral Cu@Graphitic Carbon Cage Complex Porous Structures and Their Microwave-Driven Catalytic Activity
Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y), HfO2(Y), and GeOx Films by Magnetron Sputtering
Fabrication of Fluxgate Sensor Heads by Milling with a Circuit Board Plotter and Influence of Core Annealing Conditions on Sensor Performance
Fabrication of ordered aerogel samples with different densities
Fabrication of Heterogeneous-Phase Solid-Solution Promoting Band Structure and Charge Separation for Enhancing Photocatalytic CO2 Reduction: A Case of ZnxCa1-xIn2S4
Fabrication of Nano- and Microstructures inside Thin Translucent Cuprous Oxide Film upon Femtosecond Laser Exposure
Fabrication of device with poly(N-isopropylacrylamide)-b-ssDNA copolymer brush for resistivity study
Fabricating TiO2 nanocolloids by electric spark discharge method at normal temperature and pressure
Fabrication of Graphene Oxide-MWCNTs Nanocomposite Modified Glassy Carbon Electrode for the Sensitive Determination of Amitrole
Fabrication of solution-processed nitrogen-doped niobium zinc tin oxide thin film transistors using ethanolamine additives
Fabrication and Evaluation of PCB-Embedded Broadband Signal Transformers With Custom Machined Racetrack-Shaped Ferrite Cores for Ethernet Applications
Fabrication of CaFe2O4 nanofibers via electrospinning method with enhanced visible light photocatalytic activity
Fabrication and gas sensing properties of Au-loaded SnO2 composite nanoparticles for low concentration hydrogen
Fabrication and Photovoltaic Properties of Dye-Sensitized Solar Cells Based on Graphene-TiO2 Composite Photoelectrode With ZnO Nanowires
Fabrication of Flexible Arrayed Lactate Biosensor Based on Immobilizing LDH-NAD(+) on NiO Film Modified by GO and MBs
Fabrication of multilayered-sandwich MoS2/c architectures with advanced lithium storage properties
Fabrication of cobalt aluminum-layered double hydroxide nanosheets/carbon spheres composite as novel electrode material for supercapacitors
Fabrication of large-area, close-packed, monolayer colloidal crystals via a hybrid method of spin coating and peeling-draining
Fabricating thick-section carbon fiber/silicon carbide composites by machining-aided chemical vapor infiltration
Fabricating three-dimensional hierarchical porous N-doped graphene by a tunable assembly method for interlayer assisted lithium-sulfur batteries
Fabrication and characterization of glutathione-imprinted polymers on fibrous SiO2 microspheres with high specific surface
Fabrication and mechanical properties of SiC composites toughened by buckypaper and carbon fiber fabrics alternately laminated
Fabrication of ternary CoNi@SiO2@RGO composites with enhanced electromagnetic (EM) wave absorption performances
Fabrication of Hypericin Imprinted Polymer Nanospheres via Thiol-Yne Click Reaction
Fabrication of an ideal nanoring from a black phosphorus nanoribbon upon movable bundling carbon nanotubes
Fabrication of Ce doped UiO-66/graphene nanocomposites with enhanced visible light driven photoactivity for reduction of nitroaromatic compounds
Fabrication and characterization of a high frequency and high coupling coefficient CMUT array
Fabrication of Stable and Luminescent Copper Nanocluster-Based AIE Particles and Their Application in beta-Galactosidase Activity Assay
Fabrication of electroactive poly(vinylidene fluoride) through non-isothermal crystallization and supercritical CO2 processing
Fabrication of micron and submicron gratings by using plasma treatment on the curved polydimethylsiloxane surfaces
Fabrication and Characterization of PMMA/HMX-based Microcapsules via in situ Polymerization
Fabrication and Characterization of Highly Sensitive Methane Sensor Based on Titanium Dioxide
Fabrication of Electrospun Polylactic Acid/Cinnamaldehyde/-Cyclodextrin Fibers as an Antimicrobial Wound Dressing
Fabrication of robust 3D superhydrophobic material by a simple and low-cost method for oil-water separation and oil absorption
Fabrication and Testing of PVA/Chitosan Bilayer Films for Strawberry Packaging
Fabricating self-assembled SAPO-5 with tailored mesoporosity and acidity using a single template
Fabrication of Metal Molybdate Micro/Nanomaterials for Electrochemical Energy Storage
Fabrication of porous chitosan membranes composed of nanofibers by low temperature thermally induced phase separation, and their adsorption behavior for Cu2+
Fabrication and abrasive wear behavior of ZrO2-SiC-Al2O3 ceramic
Fabrication, Transport Current Testing, and Finite Element Analysis of MgB2 Racetrack Coils
Fabrication and characterization of superhydrophilic and antibacterial surfaces by silver nanoparticle self-assembly
Fabrication of graphene oxide/silicalite-1 composites with hierarchical porous structure and investigation on their adsorption performance for rhodamine B
Fabrication of a Magnetic Cellulose Nanocrystal/Metal-Organic Framework Composite for Removal of Pb(II) from Water
Fabrication of intelligent photonic crystal hydrogel sensors for selective detection of trace mercury ions in seawater
Fabrication of novel surface-imprinted magnetic graphene oxide-grafted cellulose nanocrystals for selective extraction and fast adsorption of fluoroquinolones from water
Fabrication of organic-inorganic nanofiltration membrane using ordered stacking SiO2 thin film as rejection layer assisted with layer-by-layer method
Fabrication and characterization of Cu2ZnSnS4 thin films by sputtering a single target at different temperature
Fabrication and enhanced mechanical properties of porous PLA/PEG copolymer reinforced with bacterial cellulose nanofibers for soft tissue engineering applications
Fabrication of magnetic core PEI-silica shell particles
Fabrication and magnetic properties of novel rare-earth-free Fe-Mn-Bi-P thin films by one-step electrodeposition
Fabrication of nanoporous copper with tunable ligaments and promising sonocatalytic performance by dealloying Cu-Y metallic glasses
Fabrication of silver bromide rods via an oil-in-water emulsion route and their high photocatalytic activity under direct sunlight irradiation
Fabrication and Electrochemical Properties of Li4Ti5O12@Li6PS5Cl for All-solid-state Lithium Batteries using Simple Mechanical Method
Fabrication and diode-pumped 1.55 mu m continuous-wave laser performance of a diffusion-bonded Er: Yb: YAl3(BO3)(4)/YAl3(BO3)(4) composite crystal
Fabrication of Immunosensor Based on Au-silica Nanocomposite for Neuron-specific Enolase Detection
Fabrication and Modeling of an Ultrasensitive Label Free Impedimetric Immunosensor for Aflatoxin B-1 based on Protein A Self-assembly Modified Gold 3D Nanotube Electrode ensembles
Fabrication of Mesoporous-Silica-Coated Upconverting Nanoparticles with Ultrafast Photosensitizer Loading and 808nm NIR-Light-Triggering Capability for Photodynamic Therapy
Fabrication of zinc (II) functionalized (L)-phenylalanine in situ grafted starch and its antibacterial activity and cytotoxicity
Fabricating waveguide Bragg gratings (WBGs) in bulk materials using ultrashort laser pulses
Fabrication of POSS-coated CdTe quantum dots sensitized solar cells with enhanced photovoltaic properties
Fabrication of porous Si/nitrogen doped carbon composite and its enhanced lithium storage capability
Fabrication of high-temperature tilted fiber Bragg gratings using a femtosecond laser
Fabrication of highly oriented nanoporous fibers via airflow bubble-spinning
Fabrication of Photocontrolled Surfaces for Oil/Water Separation through Sulfur(VI) Fluoride Exchange
Fabrication of solar beam steering electrowetting devices-present status and future prospects
Fabrication of 3D quasi-hierarchical Z-scheme RGO-Fe2O3-MoS2 nanoheterostructures for highly enhanced visible-light-driven photocatalytic degradation
Fabrication of a Cu2O/g-C3N4/WS2 Triple-Layer Photocathode for Photoelectrochemical Hydrogen Evolution
Fabrication of hydrophilic and hydrophobic site on polypropylene nonwoven for removal of bisphenol a from water: explorations on adsorption behaviors, mechanisms and configurational influence
Fabrication and properties of graphene oxide-grafted-poly(hexadecyl acrylate) as a solid-solid phase change material
Fabrication and Properties of Porous NiTi Alloy by Gel-Casting with TiH2 Powders
Fabrication of diiodocarbene functionalized oxidized multi-walled carbon nanotube and its aqueous adsorption performance toward Pb(II)
Fabrication of Planar Back End of Line Compatible HfOx Complementary Resistive Switches
Fabrication of Magnetic Nanofibers by Needleless Electrospinning from a Self-Assembling Polymer Ferrofluid Cone Array
Fabrication of a polypseudorotaxane nanoparticle with synergistic photodynamic and chemotherapy
Fabrication of a Self-Supported Nanoparticle-Decorated Amphipathic Film at a Liquid/Liquid Interphase
Fabrication and application of a non-contact double-tapered optical fiber tweezers
Fabrication of micro-dimple arrays by AS-EMM and EMM
Fabrication and surface enhanced Raman scattering effect of centimeter level AgCuAu composite nanowires
Fabrication of polyurethane microcapsules with different shapes and their influence on cellular internalization
Fabrication of novel hetero-nanostructure of SnO2@TiO2@C for improved lithium storage
Fabrication of Robust Superhydrophobic Te@C-TiO2 Nanocomposites Coating for Application in Oil/Water Separation
Fabrication of metal-free two dimensional/two dimensional homojunction photocatalyst using various carbon nitride nanosheets as building blocks
Fabrication of pH-responsive hydrophilic/hydrophobic Janus cotton fabric via plasma-induced graft polymerization
Fabrication of Textured Rough SnO2:F Films on Glass Using TiO2 Film as a Buffer Layer
Fabrication of Fe3O4 Dots Embedded in 3D Honeycomb-Like Carbon Based on Metallo-Organic Molecule with Superior Lithium Storage Performance
Fabrication of a three-dimensional reinforcement via grafting epoxy functionalized graphene oxide onto carbon fibers
Fabrication of Ordered Nanopattern by using ABC Triblock Copolymer with Salt in Toluene
Fabrication of ZnSe nanoparticles: Structural, optical and Raman Studies
Fabrication of a New Lineage of Artificial Luciferases from Natural Luciferase Pools
Fabrication and characterization of a novel crosslinked human keratin-alginate sponge
Fabrication of cellulose acetate/polybenzoxazine cross-linked electrospun nanofibrous membrane for water treatment
Fabrication of layered double hydroxide microspheres by spray drying of nanoparticles: Effects of process conditions
Fabrication of loose inner-selective polyethersulfone (PES) hollow fibers by one-step spinning process for nanofiltration (NF) of textile dyes
Fabricating biomedical origami: a state-of-the-art review
Fabrication of membrane absorbers based on amphiphilic carbonaceous derivatives for selective endotoxin clearance
Fabrication of beta-MnO2/RGO Composite and Its Electrochemical Properties
Fabrication and characterization of photocatalyst composite coatings of TiO2/TiC-Ti using Ti and TiC powders
Fabrication of Ion-Shaped Anisotropic Nanoparticles and their Orientational Imaging by Second-Harmonic Generation Microscopy
Fabrication of a Responsive Hydrogel Photonic Crystal Sensing Film for Cadmium Ions
Fabrication of zinc-histidine-functionalized graphene quantum dot framework amphiphilic nanoparticles and application in the synthesis of polystyrene microspheres for adsorption of Cu2+ by Pickering emulsion polymerization
Fabrication and Magnetic Property of Spin Crossover-Graphene Oxide Nanocomposites
Fabrication and characterization of Au-Fe/Ni/(Mo/Co) alloy microsphere motors (AMSM) based on physical vapor deposition
Fabrication and Photoluminescence Study of Large-Area Ordered and Size-Controlled GeSi Multi-quantum-well Nanopillar Arrays
Fabrication and photoelectrochemical properties of TIO2 nanotube arrays
Fabrication of label-free electrochemical impedimetric DNA biosensor for detection of genetically modified soybean by recognizing CaMV 35S promoter
Fabrication of Zn2GeO4 nanorods@TiO2 as anodes for lithium-ion batteries with enhanced cycling stability
Fabrication, Thermal, Morphological and Rheological Studies on Novel Organic-Inorganic Hybrid Composites of Cetylpyridinium Chloride-Modified Montmorillonite Incorporated PEO/PMMA Blend
Fabrication of Carbohydrate-Conjugated Fingerprintlike Mesoporous Silica Net for the Targeted Capture of Bacteria(Retracted article. See vol. 9, pg. 5671, 2017)
Fabrication of nanoscale to macroscale nickel-multiwall carbon nanotube hybrid materials with tunable material properties
Fabrication of Au Doped Silicon Nano Cones and Their Gas Sensing Properties to NO2 at Room Temperature
Fabrication of Ultrafine Amorphous Pd-Ni-P Nanoparticles Supported on Carbon Nanotubes as an Effective Catalyst for Electro-oxidation of Methanol
Fabrication of well-ordered titania nanotubes by three-step anodization in lactic acid-containing electrolytes
Fabrication of chromite spinel nanoparticles and their application as electrode materials
Fabrication of High Efficient Silver Nanoparticle Catalyst Supported on Poly(glycidyl methacrylate)-Polyacrylamide
Fabrication and characterization of p-CuS/n-GaN thin film heterojunction diodes
Fabrication and characterization of adherent diamond-like carbon based thin films on polyethylene terephthalate by end hall ion beam deposition
Fabrication of silica glass thin films containing organic emissive materials and application to multi-layer organic light-emitting diodes
Fabrication, Simulation, and Experimental Characterization of EWT Solar Cells With Deep Grooved Base Contact
Fabrication of Cubic p-n Heterojunction-Like NiO/In2O3 Composite Microparticles and Their Enhanced Gas Sensing Characteristics
Fabrication and characterization of electrospinning/3D printing bone tissue engineering scaffold
Fabrication of Smart pH-Responsive Fluorescent Solid-like Giant Vesicles by Ionic Self-Assembly Strategy
Fabrication of cellular and lamellar LiFePO4/C Cathodes for Li-ion batteries by unidirectional freeze-casting method
Fabrication of a label-free electrochemical immunosensor using a redox active ferrocenyl dendrimer
Fabrication and Characterization of Palladium Nanoparticle Reinforced Multifunctional Lignin Nanofiber Mat
Fabrication of Well-Aligned TiO2 Nanotube Arrays with Outstanding Light-Induced Hydrophilicity Performance
Fabrication and characterization of selective laser melting printed Ti-6Al-4V alloys subjected to heat treatment for customized implants design
Fabrication of 3D porous MoS2-GO nanocomposite monolith as a promising adsorbent
Fabrication of thermal-resistant gratings for high-temperature measurements using geometric phase analysis
Fabrication of aligned PCL scaffold and its guidance for cell growth
Fabrication of Thermally Stable Polysulfone Microcapsules Containing [EMIm][NTf2] Ionic Liquid for Enhancement of In Situ Self-Lubrication Effect of Epoxy
Fabrication of a Dipole-assisted Solid Phase Extraction Microchip for Trace Metal Analysis in Water Samples
Fabrication of a Novel Nickel-Curcumin/Graphene Oxide Nanocomposites for Superior Electrocatalytic Activity toward the Detection of Toxic p-nitrophenol
Fabrication and characterization of disposable wireless electronic endoscope
Fabrication and characteristics of CH3NH3PbI3 perovskite solar cells with molybdenum-selenide hole-transport layer
Fabrication and Mechanical Properties of Self-Reinforced Polyester Composites by Double Covered Uncommingled Yarn
Fabrication of p-n Junction With an n-Type Silicon Nanoparticle Layer by Using Infrared Fiber Laser Illumination
Fabrication of a Nanogold-Dot Array for Rapid and Sensitive Detection of Vascular Endothelial Growth Factor in Human Serum
Fabrication of silver ion exchanged zeolite using scoria and its antibacterial activity
Fabrication of branched beta-Ga2O3 nanowires by post deposition annealing with Au seeds
Fabrication and electrochemical properties of 1D mesoporous TiO2 nanorods doped-LiNi0.7Mn0.2Co0.1O2 as the anode material for lithium ion battery
Fabrication of antimicrobial silver-doped carbon structures by combinatorial pulsed laser deposition
Fabrication and In Vitro Investigation of Controlled Released Matrix Tablets of Repaglinide Using Combination of Polymers
Fabrication of anti-vitiligo ointment containing Psoralea corylifolia: in vitro and in vivo characterization
Fabrication of silver nanoparticles in pH responsive polymer microgel dispersion for catalytic reduction of nitrobenzene in aqueous medium
Fabrication of ZnO incorporated chitosan nanocomposites for enhanced functional properties of cellulosic fabric
Fabrication and Characterization of an Upside-Down Carbon Nanotube Microelectrode Array
Fabrication of microstructured optical fibres by drawing preforms sealed at their top end
Fabrication of Two-Dimensional Arrays of Diameter-Tunable PS-b-P2VP Nanowires at the Air/Water Interface
Fabrication of flexible and amphiphobic alumina mats by electrospinning
Fabrication of NaYF4:Yb,Er Nanoprobes for Cell Imaging Directly by Using the Method of Hydrion Rivalry Aided by Ultrasonic
Fabrication of MnO/C composites utilizing pitch as the soft carbon source for rechargeable Li-ion batteries
Fabrication of antimicrobial polyethersulfone microfiltration membranes by corona plasma-assisted coating of silver nanoparticles
Fabrication of electrospun nanofibre yarn based on nylon 6/microencapsulated phase change materials
Fabrication of EDA passivated CdO nanostructures with morphological investigation
Fabrication and study of UV-shielding and photocatalytic performance of uniform TiO2/SiO2 core-shell nano fibers via single-nozzle co-electrospinning and interface sol-gel reaction
Fabrication of Flexible ITO-Free OLED Using Vapor-Treated PEDOT:PSS Thin Film As Anode
Fabrication and modeling of shape memory alloy springs
Fabrication of optical ridge waveguide in lithium niobate by argon sputtering and titanium self-alignment in-diffusion
Fabrication of nanohybrid polyetherimide/graphene oxide membranes: biofuel dehydration by pervaporation process
Fabrication of a new nanocomposite modified carbon paste Al3+-ion selective electrode based on N,N'-dipyridoxyl (1,2-cyclohexanediamine) (PYCA) as an active material
Fabrication of sulfated nanofilter membrane based on carboxymethyl cellulose
Fabrication and Optimization of Stable, Optically Transparent, and Reusable pH-Responsive Silk Membranes
Fabrication of precise shape-defined particles of silk proteins using photolithography
Fabrication of diisopropylammonium bromide aligned microcrystals with in-plane uniaxial polarization
Fabrication of Concentrated Fish Oil Emulsions Using Dual-Channel Microfluidization: Impact of Droplet Concentration on Physical Properties and Lipid Oxidation
Fabrication of highly visible-light-responsive ZnFe2O4/TiO2 heterostructures for the enhanced photocatalytic degradation of organic dyes
Fabrication and characterization of highly transparent and conductive indium tin oxide films made with different solution-based methods
Fabrication of highly amphiphobic paper using pulp debonder
Fabrication and Properties of Polyethylene Glycol-Modified Wood Composite for Energy Storage and Conversion
Fabrication and evaluation of lipid nanoparticulates for ocular delivery of a COX-2 inhibitor
Fabrication and characterization of phase change material composite fibers with wide phase-transition temperature range by co-electrospinning method
Fabrication of manganese-aluminum bronze as a shape memory alloy by accumulative roll bonding process
Fabrication-friendly subwavelength-structure-assisted waveguide for dispersion engineering
Fabrication of multipotent poly-para-xylylene particles in controlled nanoscopic dimensions
Fabricating Cotton Analytical Devices
Fabrication of Novel Hydrogel with Berberine-Enriched Carboxymethylcellulose and Hyaluronic Acid as an Anti-Inflammatory Barrier Membrane
Fabrication and Evaluation of Nanostructured Herbal Oil/Hydroxypropyl-beta-Cyclodextrin/Polyvinylpyrrolidone Mats for Denture Stomatitis Prevention and Treatment
Fabritation of Chromatographic Devices for Screening Cosmetics for Hydroquinone and Retinoic Acid as a Chemistry Project To Connect with the Community
Fabrication and mechanical durability of a superhydrophobic copper surface with morphological development from hydrothermal reaction
Fabrication of rigid poly(lactic acid) foams via thermally induced phase separation
Fabrication and Characterization of High-Optical-Quality-Factor Hybrid Polymer Microring Resonators Operating at Very Near Infrared Wavelengths
Fabrication and characterization of ternary Cu8SiS6 and Cu8SiSe6 thin film layers for optoelectronic applications
Fabrication of an Interlocked Antibiotic/Cement-Coated Carbon Fiber Nail for the Treatment of Long Bone Osteomyelitis
Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography
Fabrication and Characterization of Electrospun Thermoplastic Polyurethane/Fibroin Small-Diameter Vascular Grafts for Vascular Tissue Engineering
Fabrication and convergent X-ray nanobeam diffraction characterization of submicron-thickness SrTiO3 crystalline sheets
Fabrication of biocompatible nanohybrid shish-kebab-structured carbon nanotubes with a mussel-inspired layer
Fabrication of c-axis oriented Si3N4 ceramics using multilayered-graphene-coated beta-Si3N4 seeds and their orientation in an innovative low magnetic field
Fabrication of Temperature-responsive Hydrogels with Arbitrary Geometries by Using Self-healing Template
Fabrication of a thin plasmonic color sheet embedded with Al subwavelength gratings in parylene
Fabrication and Evaluation of Calcium Ion Releasing Electrochemical Device Using a Ternary Complex
Fabrication and characterization of semiconductor nickel oxide (NiO) nanoparticles manufactured using a facile thermal treatment
Fabrication of Epoxy Nanocomposites from Oil Palm Nano Filler: Mechanical and Morphological Properties
Fabrication of Three-Dimensional (3D) Copper/Carbon Nanotube Composite Film by One-Step Electrodeposition
Fabrication of High Temperature Polyphenylsulfone Nanofoams Using High Pressure Liquid Carbon Dioxide
Fabrication of CdTe/Si heterojunction solar cell
Fabrication of Metal Nanoparticles from Fungi and Metal Salts: Scope and Application
Fabrication and mechanical properties of multiwalled carbon nanotube/nanonickel reinforced epoxy resin composites
Fabrication of two-dimensional photonic quasi-crystals with 18-and 36-fold by holography for solar application
Fabrication of Fe-Based Diamond Composites by Pressureless Infiltration
Fabrication and characterization of carboxylated starch-chitosan bioactive scaffold for bone regeneration
Fabrication of High Performing PEMFC Catalyst-Coated Membranes with a Low Cost Air-Assisted Cylindrical Liquid Jets Spraying System
Fabrication process for 200 nm-pitch polished freestanding ultrahigh aspect ratio gratings
Fabrication and magnetic control of alginate-based rolling microrobots
Fabrication and Mechanical Characterization of Hydrogel Infused Network Silk Scaffolds
Fabrication of Modularly Functionalizable Microcapsules Using Protein-Based Technologies
Fabrication and characterization of boron doped diamond microelectrode arrays of varied geometry
Fabrication, characterization, and modeling of a biodegradable battery for transient electronics
Fabrication of Soy Protein Isolate-soluble Soy Polysaccharide Core-shell Nanogels via Maillard Reaction and Self-assembly
Fabrication of Artificial Food Bolus for Evaluation of Swallowing
Fabrication of Polymer-Ag Honeycomb Hybrid Film by Metal Complexation Induced Phase Separation at the Air/Water Interface
Fabrication of closed-cell porous metals by using ultrasonically generated microbubbles
Fabrication of a Bronze Age Sword using Ancient Techniques
Fabrication of mixed matrix membranes containing TiO2 nanoparticles in Pebax 1657 as a copolymer on an ultra-porous PVC support
Fabrication of artificial arteriovenous fistula and analysis of flow field and shear stress by using mu-PIV technology
Fabrication of RNA 3D Nanoprisms for Loading and Protection of Small RNAs and Model Drugs
Fabrication of a Horizontal and a Vertical Large Surface Area Nanogap Electrochemical Sensor
Fabrication of Free-Standing, Self-Aligned, High-Aspect-Ratio Synthetic Ommatidia
Fabrication of self-healing and hydrophilic coatings from liquid-like graphene@SiO2 hybrids
Fabrication and Characterization of High Performance Intermediate Temperature Micro-Tubular Solid Oxide Fuel Cells
Fabrication of Inverted Colloidal Crystal Poly(ethylene glycol) Scaffold: A Three-dimensional Cell Culture Platform for Liver Tissue Engineering
Fabrication of Sesame Sticks-like Silver Nanoparticles/Polystyrene Hybridnanotubes and Their Catalytic Effects
Fabrication of optically smooth Sn thin films
Fabrication of Tough Hydrogels from Chemically Cross-Linked Multiple Neutral Networks
Fabrication of high performance flexible all-solid-state asymmetric supercapacitors with a three dimensional disc-like WO3/stainless steel electrode
Fabrication and characterization of CMC-based magnetic superabsorbent hydrogel nanocomposites for crystal violet removal
Fabrication and characterization of novel high-performance fluorinated polyimides with xanthene pendent architecture: Study of thermal, photophysical, antibacterial and heavy metal ion adsorption behavior
Fabrication of Fast and Sensitive Nanostructure Voltammetric Sensor for Determination of Curcumin in the Presence of Vitamin B9 in Food Samples
Fabrication of a novel electrochemical sensor based on Zn-In2O3 nanorods coated glassy carbon microspheres paste electrode for square wave voltammetric determination of neuroprotective hibifolin in biological fluids and in the flowers of hibiscus vitifolius
Fabrication and physical characteristics of new glasses from wastes of limestone and phosphorite rocks
Fabrication of bentonite/thiourea-formaldehyde composite material for Pb(II), Mn(VII) and Cr(VI) sorption: A combined basic study and industrial application
Fabrication and characterization of step-index tellurite fibers with varying numerical aperture for near- and mid-infrared nonlinear optics
Fabrication of Transparent Thin Film of Octahedral Molybdenum Metal Clusters by Electrophoretic Deposition
Fabrication and Characterization of an MOEMS Gyroscope Based on Photonic Bandgap Materials
Fabrication of Tin-Doped Indium Oxide Thin Films Using Aerosol Deposition
Fabry-Perot interference in a triple-gated quantum point contact
Fabrication of nonwoven fabrics consisting of gelatin nanofibers cross-linked by glutaraldehyde or N-acetyl-D-glucosamine by aqueous method
Fabrication of thick nanoporous oxide films on stainless steel via DC anodization and subsequent biofunctionalization
Fabrication and Testing of Racetrack-Shaped Double-Pancake Coil for Stator Windings of Induction-Synchronous Motor
Fabrication of Silver Nanoparticle/Polyvinyl Alcohol/Polycaprolactone Hybrid Nanofibers Nonwovens by Two-nozzle Electrospinning for Wound Dressing
Fabrication and Unique Optical Properties of Two-Dimensional Silver Nanorod Arrays with Nanometer Gaps on a Silicon Substrate from a Self-Assembled Template of Diblock Copolymer
Fabrication of superconducting tunnel junctions with embedded coil for applying magnetic field
Fabrication of TiN/AlN/TiN tunnel junctions
Fabrication of Pneumatic Microvalve for Tall Microchannel Using Inclined Lithography
Fabrication and Characterization of Eggshell Powder Particles Fused Wheat Protein Isolate Green Composite for Packaging Applications
Fabrication of FGF-2 immobilized electrospun gelatin nanofibers for tissue engineering
Fabrication of Au Catalysts for Electrochemical Reduction of CO2 to Syngas
Fabrication of High Tolerance (Ca0.7Sr0.3)(Zr0.8Ti0.2)O-3 Interdigital Capacitors by Aerosol Deposition Based on Optimized Film Thickness and Simulation
Fabrication of GaAs, InxGa1-xAs and Their ZnSe Core/Shell Colloidal Quantum Dots
Fabrication of scanning thermal microscope probe with ultra-thin oxide tip and demonstration of its enhanced performance
Fabrication of normally-off GaN nanowire gate-all-around FET with top-down approach
Fabrication of Dye-Sensitized Solar Cells Based on Embedded Photoelectrodes of TiO2 Nanotube-Nanoparticles Composite
Fabrication of Transparent AZO/ZnO/ITO Resistive Random Access Memory Devices and Their ZnO Active Layer Deposition Temperature-Dependent Switching Characteristics
Fabrication and characterization of aluminum nitride thick film coated on aluminum substrate for heat dissipation
Fabrication of three-dimensional scan-to-print ear model for microtia reconstruction
Fabrication of Porous Materials from Natural/Synthetic Biopolymers and Their Composites
Fabrication and characterization of In0.83Al0.17N based MSM visible photodiode
Fabrication of resistance type humidity sensor based on CaCu3Ti4O12 thick film
Fabrication and characterization of light-sensing device based on transparent ZnO thin film prepared by sol-gel
Fabrication and ferroelectric characterization of Mn-doped K0.5Na0.5NbO3 nanodots using an anodic aluminum oxide template
Fabrication and optical characterization of hybrid antireflective structures with zinc oxide nanorods/micro pyramidal silicon for photovoltaic applications
Fabrication, characterization and determination of biological activities of poly(epsilon-caprolactone)/chitosan-caffeic acid composite fibrous mat for wound dressing application
Fabrication and Characterization of Luminescence Film Sensor for Detecting Defects of Barrier Films
Fabrication of Al-Based Metal Printed Circuit Board Having Excellent Heat Dissipation Characteristics Using Polyimide/AlN Powder and Evaluation of Thermal Resistance of Light-Emitting Diode Module
Fabrication and Super-Antibacterial Property of Nanosilver/Sericin/Poly(ethylene oxide) Nanofibers through Electrospinning-Combined Postdeposition Method
Fabrication of coarse grain yttria composite and its corrosion resistance to molten titanium
Fabrication of lotus-like Au@TiO2 nanocomposites with enhanced gas-sensing properties
Fabrication of Liquid Protrusions on Non-Cross-Linked Colloidal Particles for Shape-Controlled Patchy Microparticles
Fabrication of functional hollow microspheres constructed from MOF shells: Promising drug delivery systems with high loading capacity and targeted transport
Fabric-evoked prickle of fabrics made from single fibres using axial fibre-compression-bending analyzer
Fabrication and characterization of SiO2@TiO2@silicalite-1 catalyst and its application for degradation of rhodamine B
Fabrication of three-dimensional silicon structure with smooth curved surfaces
Fabrication of CeO2/ZnCo2O4 n-p heterostructured porous nanotubes via electrospinning technology for enhanced ethanol gas sensing performance
Fabrication of atomic force microscope spherical tips and its application in determining the mechanical property of cancer cells
Fabrication of MnO2-TiO2 nanotube arrays composite films through a one-step redox precipitation method
Fabrication and Properties of Carbon-Encapsulated Cobalt Nanoparticles over NaCl by CVD
Fabrication of multiwalled carbon nanotube-polyaniline/platinum nanocomposite films toward improved performance for a cholesterol amperometric biosensor
Fabrication and Mechanical Properties of Three-Dimensional Carbon Fiber Reinforced (Al2O3-SiO2) Matrix Composites
Fabrication and properties of dense silicon carbide ceramic via gel-casting and gas silicon infiltration
Fabrication of a MIL-53(Al) Nanocomposite Membrane and Potential Application in Desalination of Dye Solutions
Fabrication of MIL-100(Fe)@SiO2@Fe3O4 core-shell microspheres as a magnetically recyclable solid acidic catalyst for the acetalization of benzaldehyde and glycol
Fabrication of CuS@Ni3S4- polyacrylonitrile textile fabric with enhanced reusability for the treatment of dyes wastewater
Fabrication of Surface Protein-Imprinted Biofuel Cell for Sensitive Self-Powered Glycoprotein Detection
Fabrication and characterization of novel polyvinylidene fluoride ultrafiltration membranes for separation of Cr(VI) from wastewater
Fabrication and characterisation of short fibre reinforced elastomer composites for bending and twisting magnetic actuation
Fabry Disease: A Disorder of Childhood Onset
Fabrication and characterization of soft macroporous Jeffamine cryogels as potential materials for tissue applications
Fabrication of chitosan-g-poly(acrylamide)/Cu nanocomposite for the removal of Pb(II) from aqueous solutions
Fabrication of foam glass from iron tailings
Fabrication of superhydrophobic aromatic cotton fabrics
Fabrication of multi-walled carbon nanotubes-aluminum matrix composite by powder metallurgy technique
Fabrication of Fuel-Cell Carbon-Carbon Gas-Diffusion Substrates
Fabrication of efficient CdS nanoflowers-decorated TiO2 nanotubes array heterojunction photoanode by a novel synthetic approach for solar hydrogen production
Fabrication and Material Analysis of Zinc Oxide Nanorods Grown on Gallium Nitride Substrate
Fabrication and performance of SiO2/FSiPA hybrid superhydrophobic coating
Fabrication of TiNi alloy matrix composites with near-zero thermal expansion behavior and their properties
Fabrication of Hydrophobic Coating on Filter Paper from Self-emulsifying Carnauba Wax-alcohol Emulsions with Nano-TiO2 Particles for Water/Diesel Separation
Fabrication and Optimization of Self-Microemulsions to Improve the Oral Bioavailability of Total Flavones of Hippophae rhamnoides L
Fabrication of Uniform Casein/CaCO3 Vaterite Microspheres and Investigation of Its Formation Mechanism
Fabrication of Polyaniline/Self-Doped TiO2 Nanotubes Hybrids as Supercapacitor Electrode by Microwave-Assisted Chemical Reduction and Electrochemical Deposition
Fabrication and characterization of passive microsphere resonators based on Ge28Sb12Se60chalcogenide glass
Fabrication of high-voltage LED chips with lateral columnar structure
Fabrication and performance of the liquid crystal optical switches based on dichroic dye and polymer orientation
Fabrication and characterization of water-soluble antimicrobial gliadin nanoparticles
Fabrication of nanoscale zero-mode waveguides using microlithography for single molecule sensing
Fabrication of a field effect transistor structure using charge-ordered organic materials alpha-(BEDT-TTF)(2)I-3 and alpha '-(BEDT-TTF)(2)IBr2
Fabrication of Arrays of Nano-Superconducting Quantum Interference Devices Using a Double-Angle Processing Approach
Fabry-Perot Interferometry with Fractional Charges
Fabrication of fixed implant prostheses using function bite impression technique (FBI technique)
Fabrication and characterization of carbon fiber reinforced clay/epoxy composite
Fabricating Articulated Characters from Skinned Meshes
Fabrication and characterization of fibers with built-in liquid crystal channels and electrodes for transverse incident-light modulation
Fabrication and operation of GRIN probes for in vivo fluorescence cellular imaging of internal organs in small animals
Fabrication of hollow hydroxyapatite spherical granules for hard tissue regeneration and alternative method for drug release test
Fabrication and characterization of ambipolar devices on an undoped AlGaAs/GaAs heterostructure
Fabrication of metallic stamps for injection moulding applications by combining proton beam writing and UV lithography
Fabry Disease: A Rare Cause of Fever of Unknown Origin
Fabrication of nitride-based UV LEDs with low dislocation GaN buffer layers
Fabrication of TiO2/CuSCN Bulk Heterojunctions by Profile-Controlled Electrodeposition
Fabrication of complex curved three-dimensional silicon microstructures using ion irradiation
Fabry International Prognostic Index: a predictive severity score for Anderson-Fabry disease
Fabrication of Delamination Free, Low Stress Diamond Like Carbon (DLC) Films Using Pulsed Laser Deposition (PLD)
Fabrication of Chitosan-Poly(ethylene glycol) Hybrid Hydrogel Microparticles via Replica Molding and Its Application toward Facile Conjugation of Biomolecules
Fabrication of a Hybrid Microfluidic System Incorporating both Lithographically Patterned Microchannels and a 3D Fiber-Formed Microfluidic Network
Fabrication of porous emulsion-templated conducting composite beads by vapor phase polymerization
Fabrication of scandia-stabilized zirconia electrolyte with a porous and dense composite layer for solid oxide fuel cells
Fabrication Attacks: Zero-Overhead Malicious Modifications Enabling Modern Microprocessor Privilege Escalation
Fabrication of triangular nanobeam waveguide networks in bulk diamond using single-crystal silicon hard masks
Fabrication of Ni mold for nanoimprint lithography by combining two supercritical fluid-based deposition technologies
Fabrication of 721-pixel silicon lens array of a microwave kinetic inductance detector camera
Fabrication of Ge-based light-emitting diodes with a ferromagnetic metal/insulator tunnel contact
Fabrication of 10-nm-scale nanoconstrictions in graphene using atomic force microscopy-based local anodic oxidation lithography
Fabrication and Evaluation of a Micro-Tubular Solid Oxide Fuel Cell with an Inert Support Using Scandia-Stabilized Zirconia Electrolyte
Fabrication of micro-textured and plateau-processed functional surface by angled fine particle peening followed by precision grinding
Fabrication and mechanical characterization of 3D woven Cu lattice materials
Fabry disease in a geriatric population
Fabrication of Cu(In,Ga)(S,Se)(2) thin film solar cells via spray pyrolysis of thiourea and 1-methylthiourea-based aqueous precursor solution
Fabrication of isotopic and natural carbon foils by thermal cracking method and some issues
Fabrication of a flexible copper pattern based on a sub-micro copper paste by a low temperature plasma technique
Fabrication and Experimental Analysis of 6.6 kV/100 A Class Single-Phase Superconducting Fault Current Controller With Superconducting DC Reactor Coil
Fabric development as the key for forming ductile shear zones and enabling plate tectonics (vol 50, pg 254, 2013)
Fabrication of microchannel networks in multicellular spheroids
Fabrication of highly ordered nanoporous Si with high aspect ratio through prepatterning of Si using porous alumina mask
Fabrication of pseudo-spin-MOSFETs using a multi-project wafer CMOS chip
Fabrication of a bulk silicon p-n homojunction-structured light-emitting diode showing visible electroluminescence at room temperature
Fabrication of polymer/TiO2-nanotube-based hybrid structures using a solvent-vapor-assisted coating method
Fabrication of Printed Titanium Shells for Containment of BMP-2 Composite Graft Materials for Alveolar Bone Reconstruction
Fabrication of a Second-Generation of Nb3Sn Coils for the LARP HQ02 Quadrupole Magnet
Fabrication of Fork-Shaped Retinal Stimulator Integrated with CMOS Microchips for Extension of Viewing Angle
Fabrication of high aspect ratio microtube arrays for 2D photonic crystals
Fabrication of sub-15 nm aluminum wires by controlled etching
Fabrication of Blood Coagulability Calcium Carbonate Composite Mimicking Cuttlefish Bone by Layer-by-Layer Method
Fabry-Perot-multichannel spectrometer tandem for ultra-high resolution Raman spectroscopy
Fabrication of poly-Si complementary metal oxide semiconductor inverter by all sputtering deposition process
Fabrication of Porous Copper with Directional Pores by Continuous Casting Technique Through Thermal Decomposition of Hydride
Fabrication of fine imaging devices using an external proton microbeam
Fabrication of porous silicon carbide ceramics with high porosity and high strength
Fabrication of 2D and 3D Constructs from Reconstituted Decellularized Tissue Extracellular Matrices
Fabrication and Implantation of Miniature Dual-element Strain Gages for Measuring In Vivo Gastrointestinal Contractions in Rodents.
Fabrication and characterization of nano-particles-enhanced epoxy
Fabrication of 3D Interdigitated Array Electrode Consisting of Au Nanoparticles and Its Application for Biosensing
Fabric and Effective Stress Distribution in Internally Unstable Soils
Fabrication, polarization, and characterization of PVDF matrix composites for integrated structural load sensing
Fabrication of Silver-Tungsten Wafer-like Nanoarchitectures for Selective Epoxidation of Alkenes
Fabrication of Microfluidic Valves Using a Hydrogel Molding Method
Fabrication Process for Thick-Film Micromachined Multi-Pole Electromagnets
Fabrication and characterization of a micro tunable cat's eye retro-reflector
Fabrication and Properties of Indium Tin Oxide/ZnO Schottky Photodiode with Hydrogen Peroxide Treatment
Fabrication and Properties of High-T-c YBCO Josephson Junction and SQUID With Variable Thickness Bridges by Focused Ion Beam
Fabrication of microlens arrays by a rolling process with soft polydimethylsiloxane molds
Fabrication of hybrid metal island/silicon single electron transistor
Fabrication and bio-functionalization of tetrahedral amorphous carbon thin films for bio sensor applications
Fabrication of thin, luminescent, single-crystal diamond membranes
Fabrication and realistic modeling of three-dimensional metal-dielectric composites
Fabrication and characterization of cesium-based photocathodes for free electron lasers
Fabrication of duplex DNA microarrays incorporating methyl-5-cytosine
Fabrication of synthetic polymer coatings and their use in feeder-free culture of human embryonic stem cells
Fabrication and electrical integration of robust carbon nanotube micropillars by self-directed elastocapillary densification
Fabrication of Functional Cardiac, Skeletal, and Smooth Muscle Pumps In Vitro
Fabrication of carbon/refractory metal nanocomposites as thermally stable metallic photonic crystals
Fabrication of polymer via holes by a combination of hot embossing and indentation processes
Fabrication and heating rate study of microscopic surface electrode ion traps (vol 13, 013032, 2011)
Fabrication and testing of a self-propelled, miniaturized PDMS flotilla
Fabrication of AlGaN Two-Dimensional Photonic Crystal Nanocavities by Selective Thermal Decomposition of GaN
Fabrication and Tensile Tests of Aluminum Foam Sandwich with Dense Steel Face Sheets by Friction Stir Processing Route
Fabrication of Off-the-Shelf Multilumen Poly(Ethylene Glycol) Nerve Guidance Conduits Using Stereolithography
Fabrication of an inexpensive, implantable cooling device for reversible brain deactivation in animals ranging from rodents to primates
Fabrication of Defect-Free Ferroelectric Liquid Crystal Cells Using Alignment Films Rubbed in Antiparallel Direction
Fabrication, characterization and testing of thin films with novel microstructures
Fabrication of Nb-Doped TiO2 Transparent Conducting Films by Postdeposition Annealing under Nitrogen Atmosphere
Fabrication and Electrical Properties of Mn-Doped KNbO3 Ceramics Synthesized from KHCO3 as a Starting Material
Fabrication of large sintered pellets of Sb-doped n-type Mg2Si using a plasma activated sintering method
Fabrication of metallic double-gate field emitter arrays and their electron beam collimation characteristics
Fabrication and Characterization of Large, Perfectly Periodic Arrays of Metallic Nanocups
Fabrication and characterization of multi-level hierarchical surfaces
Fabrication and characterization of a silicon metal-oxide-semiconductor based triple quantum dot
Fabrication of submicron devices on the (011) cleave surface of a cleaved-edge-overgrowth GaAs/AlGaAs crystal
Fabrication and design aspects of high-temperature compact diffusion bonded heat exchangers
Fabrication of a Novel Contactless Switch Using Eddy Current Displacement Sensor for Safer Vehicle Brake System
Fabrication of High Glass Transition Temperature Graded-Index Plastic Optical Fiber: Part 1-Material Preparation and Characterizations
Fabrication of High Glass Transition Temperature Graded-Index Plastic Optical Fiber: Part 2-Fiber Fabrication and Characterizations
Fabrication of Sn-doped zinc phosphate glass using a platinum crucible
Fabrication and characterization of a pulsed fiber ring laser based on As2S3
Fabric evolution and accessible geometrical states in granular materials
Fabrication of Nanowires Based on Polystyrene Derivatives by Single Particle Nano-Fabrication Technique
Fabrication of nanobeads from nanocups by controlling scission/crosslinking in organic polymer materials
Fabrication of Metallodielectric Photonic Crystals to Exhibit Perfect Millimeter Wave Band Gaps
Fabrication of Al2O3-YAG Equilibrium Eutectic Composites via Transformation from Fine Al2O3 and YAP Powder Mixtures
Fabrication of Nickel/Organic-Molecule/Nickel Nanoscale Junctions Utilizing Thin-Film Edges and Their Structural and Electrical Properties
Fabrication of Porous Aluminum with Directional Pores through Continuous Casting Technique
Fabrication of Poly(9,9 '-dioctylfluorene)-Based Nano- and Microstructures by Proton Beam Writing
Fabrication and mechanical properties of column-particle nanocomposites by multiscale shape-assisted self-assembly
Fabrication of (Co1-xFex)-B Particles With Magnetic Softness
Fabrication of a Piezoelectric Microcantilever Array with a Large Initial Deflection and an Application to Electrical Energy Harvesting
Fabrication of Molds with 25-nm Dot-Pitch Pattern by Focused Ion Beam and Reactive Ion Etching for Nanoimprint Using Metallic Glass
Fabrication of magnetic tunnel junctions with a bottom synthetic antiferro-coupled free layers for high sensitive magnetic field sensor devices
Fabrication of solid-solution gold-platinum nanoparticles with controllable compositions by high-intensity laser irradiation of solution
Fabrication of Freestanding Pb(Zr,Ti)O Film Microstructures Using Ge Sacrificial Layer
Fabrication of quasi-periodic surface microcavities by selective etching of self-organized superalloys for high-temperature photonics
Fabrication of Porous Aluminum Alloy with Aligned Unidirectional Pores by Dipping Pipes in Base Metal Melt
Fabrication of Silicone Rubber Nanocomposites and Quantitative Evaluation of Dispersion State of Nanofillers
Fabrication of Dye-Sensitized Solar Cell (DSSC) Using Different Particle Sizes of TiO2 Deposited via Nano-Particle Deposition System (NPDS)
Fabrication of single-walled carbon nanohorns containing iodine and cesium
Fabrication of carbon nanotubes from conducting polymer precursor as field emitter
Fabrication of polymeric surfaces with similar contact angles but dissimilar contact angle hysteresis
Fabrication of dye-sensitized solar cells using ordered and vertically oriented TiO2 nanotube arrays with open and closed ends
Fabricating a Dichroic Plasmonic Mirror in Fused Silica by Dual-Ion Implantation
Fabrication of mesoporous organosilica in a shallow nanotrench for low-k and high elastic modulus material application
Fabrication of Long-range Ordered Porous Alumina Membranes with Various Voltages Applied for Hard Anodization
Fabrication of Fe16N2 Films by Sputtering Process and Experimental Investigation of Origin of Giant Saturation Magnetization in Fe16N2
Fabrication of large-grained thin polycrystalline silicon films on foreign substrates by titanium-assisted metal-induced layer exchange
Fabrication and Measurement of Test Structures to Monitor Stress in SU-8 Films
Fabrication of Fibre-Bragg-Gratings in High Birefringent Optical Fibres
Fabrication of nanopatterned, porous microspheres using a glass capillary microfluidic device
Fabric wrinkle characterization and classification using modified wavelet coefficients and support-vector-machine classifiers
Fabrication and characterization of embedded horizontal micro-channels using line-scan stereolithography
Fabrication and Validation of Autologous Human Oral Mucosal Epithelial Cell Sheets to Prevent Stenosis after Esophageal Endoscopic Submucosal Dissection
Fabrication and MFM study of 60 nm track-pitch discrete track media
Fabrication Principles and Their Contribution to the Superior In Vivo Therapeutic Efficacy of Nano-Liposomes Remote Loaded with Glucocorticoids
Fabrication and Measurement of the Performance of a Printed EMI Shielding Mesh Filter on PET Film
Fabrication and test results of HTS magnet for a superconducting property measurement system
Fabrication of Nano-Scale Cu Bond Pads with Seal Design in 3D Integration Applications
Fabric development in a weathering profile at a basement-cover interface, the sub-Cambrian peneplain, Israel: Implications for decollement tectonics
Fabrication of flexible ultracapacitor/galvanic cell hybrids using advanced nanoparticle coating technology
Fabry-database.org: database of the clinical phenotypes, genotypes and mutant alpha-galactosidase A structures in Fabry disease
Fabrication of planar-type Ni/vacuum/Ni tunnel junctions based on ferromagnetic nanogaps using field-emission-induced electromigration
Fabrication of dense beta-calcium orthophosphate with submicrometer-sized grains and its high-temperature superplastic deformation
Fabrication of densely packed arrays of GaN nanostructures on nano-imprinted substrates
Fabrication and Magnetic Properties of fcc-Co Nanorods Embedded in Epitaxial Thin Films of Anatase TiO2 As a Transparent Matrix
Fabrication of Fine Particles of Semiconducting Polymers by Electrospray Deposition
Fabrication of the Alnico Bonded Magnets for Measuring Instruments and its Magnetic Properties
Fabrication and reliable implementation of an ionic polymer-metal composite (IPMC) biaxial bending actuator
Fabrication of Anti-reflection Structure using Photo-curable Polymer
Fabrication of weak-link Nb-based nano-SQUIDs by FIB process
Fabrication and Thermo-Mechanical Characterization of a Shape Memory Alloy Hybrid Composite
Fabrication of a Micro-Fluid Gathering Tool for the Gastrointestinal Juice Sampling Function of a Versatile Capsular Endoscope
Fabrication and Characteristics of Electroplated Sn-0.7Cu Micro-bumps for Flip-Chip Packaging
Fabrication of nitrogen trapping test loop for IFMIF-EVEDA
Fabrication of nano-scale liposomes containing doxorubicin using Shirasu porous glass membrane
Fabrication of Porous Glass Supporting Silver Ultrafine Particles after Hydrothermal Treatment and Microwave Heating
Fabrication of porous film based on poly(2,6-dimetyl-1,4-phenylene ether) block copolymer by supercritical carbon dioxide treatment
Fabrication of carbon nanofibers using only ion beam irradiation to glassy carbon
Fabrication of supersaturated Cu-Sn alloy sheets and their antibacterial properties
Fabrication and Characteristics of Fullerene-Perylene Dyad Based Organic Photovoltaic Cell
Fabrication and photophysical properties of singlet oxygen generating nanoporous membrane
Fabrication and inter-channel crosstalk analysis of polymer optical waveguides with W-shaped index profile for high-density optical interconnections
Fabric and formation of grapestone concretions within an unusual ancient methane seep system (Eocene, Bulgaria)
Fabrication of optically transparent chitin nanocomposites
Fabrication of Gold Nanoparticle Pattern Using Combination of Self-Assembly and Two-Step Transfer
Fabrication of LiCoO2/helical nanocarbon composites and their effect on lithium cell performance
Fabrication and characterization of silicon antireflection structures for infrared rays using a femtosecond laser
Fabrication and control of miniature McKibben actuators
Fabrication of CuInS2 films from electrodeposited Cu/In bilayers: effects of preheat treatment on their structural, photoelectrochemical and solar cell properties
Fabrication and Evaluation of Nanostructure Al-SiCp Composite by Accumulative Roll-Bonding
Fabrication of a Flexible Array for Tactile Sensors with Microcantilevers and the Measurement of the Distribution of Normal and Shear Forces
Fabrication of ZnO Films Alloyed with LiGaO2 by RF-Magnetron Sputtering and Their Optical Property
Fabrication of nanostructured tool steel layer by combination of laser cladding and friction stir processing
Fabrication of Discrete Polystyrene Nanoparticle Arrays with Controllable Their Structural Parameters
Fabrication of robust PbLa(Zr, Ti)O-3 capacitor structures using insulating oxide encapsulation layers for FeRAM integration
Fabrication and properties of lead-free machinable brass with Ti additive by powder metallurgy
Fabrication of microinducer by 5-axis control ultraprecision micromilling
Fabrication of Einzel Lens Array with One-Mask Reactive Ion Etching Process for Electron Micro-Optics
Fabrication and characterization of large size (LiF)-Li-6/CaF2:Eu eutectic composites with the ordered lamellar structure
Fabrication of Single Crystalline Diamond Reinforced Aluminum Matrix Composite by Powder Metallurgy Route
Fabrication of honeycomb-patterned cellulose material that mimics wood cell wall formation processes
Fabrication of silver nanoparticles by highly intense laser irradiation of aqueous solution
Fabrication of a high-precision spherical micromirror by bending a silicon plate with a metal pad
Fabrication of high-Ge-fraction strained Si1-xGex/Si hole resonant tunneling diode using low-temperature Si2H6 reaction for nanometer-order ultrathin Si barriers
Fabrication of Multiferroic Co-Substituted BiFeO3 Epitaxial Films on SrTiO3 (100) Substrates by Radio Frequency Magnetron Sputtering
Fabrication and nano-imprintabilities of Zr-, Pd- and Cu-based glassy alloy thin films
Fabrication of composite coating comprising bioactive calcium and sodium titanates on titanium using calcium hydroxide slurry containing sodium ions
Fabrication of Silicon Pillar with 25 nm Half Pitch Using New Multiple Double Patterning Technique
Fabrication and Photocurrent Generation of Multilayer Assemblies Consisting of Silver-nanoparticles, Polydiacetylene, and Polyions
Fabrication of Magnetic Tunnel Junctions with a Synthetic Ferrimagnetic Free Layer for Magnetic Field Sensor Applications
Fabrication of Large Plasmonic Arrays of Gold Nanocups Using Inverse Periodic Templates
Fabrication of discrete gallium nanoislands on the surface of a Si(001) substrate using a focused ion beam
Fabrication of high-aspect-ratio lightpipes
Fabrication and characterization of high-quality-factor silicon nitride nanobeam cavities
Fabrication of a blue organic light-emitting diode with a novel thermal deposition boat
Fabrication and Integration of Micromachined Submillimeter-Wave Circuits
Fabrication of two-dimensional tungsten photonic crystals for high-temperature applications
Fabrication and heating rate study of microscopic surface electrode ion traps
Fabrication of Capacitive Micromachined Ultrasonic Transducers via Local Oxidation and Direct Wafer Bonding
Fabrication and Comparison of the Properties of SnInZnO and InZnO TFTs Processed by Using the Sol-gel Method (vol 57, pg 1847, 2010)
Fabrication and Characterization of Nanoscale NiO Resistance Change Memory (RRAM) Cells With Confined Conduction Paths
Fabrication of nanoparticles using partially purified pomegranate ellagitannins and gelatin and their apoptotic effects
Fabrication of thin films for a small alternating gradient field magnetometer for biomedical magnetic sensing applications
Fabrication and Recording of Bit Patterned Media Prepared by Rotary Stage Electron Beam Lithography
Fabrication of planar, layered nanoparticles using tri-layer resist templates
Fabrication and characterization of parylene-bonded Nd-Fe-B powder micromagnets
Fabrication of free standing LiNbO3 single crystal micro-platelets and their integration to Si-on-insulator platforms
Fabrication of PPF Electrodes by a Rapid Thermal Process
Fabrication and electrical characterization of integrated nano-scale fluidic channels
Fabrication of an optical fiber reflective notch coupler
Fabrication and Static Magnetic Properties of Novel One- and Two-Dimensional Bi-Component Magnonic Crystals
Fabrication of wireless sensors on flexible film using screen printing and via filling
Fabrication of a 3D Nanoscale Crossbar Circuit by Nanotransfer-Printing Lithography
Fabrication and characterization of low aberration micrometer-sized electron lenses
Fabrication of 3-nm Platinum Wires Using a Tobacco Mosaic Virus Template
Fabrication of InAs/GaAs quantum dot solar cells with enhanced photocurrent and without degradation of open circuit voltage
Fabrication of an MRI Model Magnet With an Off-Centered Distribution of Homogeneous Magnetic Field Zone
Fabrication of Aligned Magnetic Nanoparticles Using Tobamoviruses
Fabrication and characterization of metal-insulator-semiconductor structures by direct nitridation of InP surfaces
Fabrication of Protein Renaturation Facilitating Membrane Using Plasma Graft Pore Filling Technique
Fabrication of hollow poly-allylamine hydrochloride/poly-sodium styrene sulfonate microcapsules from microbubble templates
Fabrication and demonstration of an electrochromic voxel array for a volume display prototype
Fabrication and Characterization of Ferroelectric Poly(vinylidene fluoride-tetrafluoroethylene) Gate Field-Effect Transistor Memories
Fabrication of three-dimensional 1 x 4 splitter waveguides inside a glass substrate with spatially phase modulated laser beam
Fabrication, microstructure and optical properties of Er3+:YAG glass-ceramics
Fabrication, properties, and applications of porous metals with directional pores
Fabrication of Lotus-Type Porous Carbon Steel Slabs by Continuous Casting Technique in Nitrogen Atmosphere
Fabrication and testing of sputtered-sliced kinoform style Fresnel zone plate
Fabrication of Sintered Porous Poly(L-lactide) Scaffold with Controlled Pore Size and Porosity
Fabrication of three-dimensional micro-photonic structures on the tip of optical fibers using SU-8
Fabrication of Polymer Waveguides by Laser Ablation Using a 355 nm Wavelength Nd:YAG Laser
Fabrication and Magnetic Properties of Nonmagnetic Ion Implanted Magnetic Recording Films for Bit-Patterned Media
Fabrication of Biomaterials via Controlled Protein Bubble Generation and Manipulation
Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting
Fabrication and characterization of ultra-high resolution multilayer-coated blazed gratings
Fabrication of metallic nanocones by induced deposition of etch masks and ion milling
Fabrication of nanoscale, high throughput, high aspect ratio freestanding gratings
Fabrication of GaAs-on-Insulator via Low Temperature Wafer Bonding and Sacrificial Etching of Ge by XeF2
Fabrication of a vertical sidewall using double-sided anisotropic etching of %26lt; 1 0 0 %26gt; oriented silicon
Fabrication of samples for scanning probe experiments on quantum spin Hall effect in HgTe quantum wells
Fabrication of Composite Membrane Based on Silicotungstic Heteropolyacid Doped Polybenzimidazole for High Temperature PEMFC
Fabrication of Gallium Nitride Films in a Chemical Vapor Deposition Reactor
Fabrication of ultrathin L1(0)-FePt based exchange coupled composite media
Fabrication and implementation of nanodiamond lateral field emission diode for logic OR function
Fabrication of Si1-xGex/Si pMOSFETs Using Corrugated Substrates for Improved I-ON and Reduced Layout-Width Dependence
Fabrication, Structure and Reactivity of Anchored Nanoparticles Seminaris CampusHotel, Berlin, Germany 10-12 April 2013 Concluding remarks
Fabrication and calibration of Oxazine-based optic fiber sensor for detection of ammonia in water
Fabrication and deuterium permeation properties of erbia-metal multilayer coatings
Fabrication of a Silicon Backshort Assembly for Waveguide-Coupled Superconducting Detectors
Fabrication of CuInS2 and Cu(In, Ga) S-2 thin films by a facile spray pyrolysis and their photovoltaic and photoelectrochemical properties
Fabrication and Noise Reduction of the Miniature Tactile Sensor Using Through-Silicon-Via Connection with Signal Amplifier
Fabrication process for tall, sharp, hollow, high aspect ratio polymer microneedles on a platform
Fabrication characteristics and mechanical behaviour of rice husk ash - Alumina reinforced Al-Mg-Si alloy matrix hybrid composites
Fabrication and Superconducting Properties of Diffusion Processed 7-Core MgB2 Wires
Fabrication of a 22.8% Efficient Back Contact Solar Cell With Localized Laser-Doping
Fabry Disease and G6PD in Three Family Members with Priapism: Is the Nitric Oxide Pathway to Blame?
Fabrication of ultralow-density quantum dots by droplet etching epitaxy
Fabrication of tungsten micro-rods by ECM using ultra-short-pulse bipolar current
Fabrication of thin TEM sample of ionic liquid for high-resolution ELNES measurements
Fabrication and Characterization of a Stabilized Thin Film Ag/AgCl Reference Electrode Modified with Self-Assembled Monolayer of Alkane Thiol Chains for Rapid Biosensing Applications
Fabrication of Antimicrobial Poly(propylene carbonate) Film by Plasma Surface Modification
Fabrication of an unconventional bolus-type stent for a combined intraoral/extraoral defect treated with proton radiation therapy
Fabrication of prebent MoS2 biosensors on flexible substrates
Fabrication of Nanopillar-Based Split Ring Resonators for Displacement Current Mediated Resonances in Terahertz Metamaterials
Fabrication of Trabecular Bone-Templated Tissue-Engineered Constructs by 3D Inkjet Printing
Fabrication, Characterization, and Testing of Graphene Oxide and Hydrophilic Polymer Graphene Oxide Composite Membranes in a Dead-End Flow System
Fabrication and characterization of transparent wood for next generation smart building applications
Fabrication of multifunctional fluorescent organic nanoparticles with AIE feature through photo-initiated RAFT polymerization
Fabrication of highly homogeneous Al-doped TiO2 nanotubes by nanolamination of atomic layer deposition
Fabrication and optimization of La0.4Sr0.6Co0.2Fe0.7Nb0.1O3-delta electrode for symmetric solid oxide fuel cell with zirconia based electrolyte
Fabrication of free-standing membranes with tunable pore structures based on the combination of electrospinning and self-assembly of block copolymers (vol 7, pg 49568, 2017)
Fabrication of a Screw-Shaped Long-Period Fiber Grating for Refractive Index Sensing
Fabrication of silver nanostructures using femtosecond laser-induced photoreduction
Fabricating Cu(In,Ga)Se-2 (CIGS) thin films with large grains based on the quaternary CIGS targets
Fabrication of elastomeric silk fibers
Fabrication of doped Pb(Zr,Ti)O-3 capacitors on Pt substrates with different orientations
Fabrication of Carrier-Doped Si Nanoarchitecture for Thermoelectric Material by Ultrathin SiO2 Film Technique
Fabrication of quantum dot/silica core-shell particles immobilizing Au nanoparticles and their dual imaging functions
Fabrication of White Light-emitting Electrochemical Cells with Stable Emission from Exciplexes
Fabrication of Mediatorless/Membraneless Glucose/Oxygen Based Biofuel Cell using Biocatalysts Including Glucose Oxidase and Laccase Enzymes
Fabrication of Feedhorn-Coupled Transition Edge Sensor Arrays for Measurement of the Cosmic Microwave Background Polarization
Fabrication of Hexagonal Microlens Arrays on Single-Crystal Silicon Using the Tool-Servo Driven Segment Turning Method
Fabrication of YBa2Cu3O7 Superconducting Film on {100}< 001 > Textured Cu Tape via Conductive Buffer Layers
Fabrication of Novel MEMS Microgrippers by Deep Reactive Ion Etching With Metal Hard Mask
Fabrication of Mesoscale Channel by Scanning Micro Electrochemical Flow Cell (SMEFC)
Fabrication of 3-dimensional multicellular microvascular structures
Fabrication of bi-layer scaffold of keratin nanofiber and gelatin-methacrylate hydrogel: Implications for skin graft
Fabrication of Shape-Controlled Palladium Nanoparticle-Decorated Electrospun Polypyrrole/Polyacrylonitrile Nanofibers for Hydrogen Peroxide Coalescing Detection
Fabrication of Superconducting Vacuum-Gap Crossovers for High Performance Microwave Applications
Fabrication of Three-dimensional Paper-based Microfluidic Devices for Immunoassays
Fabrication of hierarchically branched SnO2 nanowires by two-step deposition method and their applications to electrocatalyst support and Li ion electrode
Fabrication of hard x-ray zone plates with high aspect ratio using metal-assisted chemical etching
Fabrication, Detection, and Operation of a Three-Dimensional Nanomagnetic Conduit
Fabrication of single and bundled filament-like tissues using biodegradable hyaluronic acid-based hollow hydrogel fibers
Fabrication and electrical properties of a (Pb, La)(Zr, Ti)O-3 capacitor with pulsed laser deposited Sn-doped In2O3 bottom electrode on Al2O3(0001)
Fabrication of silver nanoparticles from silver salt aqueous solution at water-glass interface by visible CW laser irradiation without reducing reagents
Fabrication of TiO2-graphene photocatalyst by direct chemical vapor deposition and its anti-fouling property
Fabrication Mechanism of Atomically Flat n-Type 4H-SiC (000-1) Surfaces by Electrochemical Method
Fabrication of Composite Filaments with High Dielectric Permittivity for Fused Deposition 3D Printing
Fabrication and Characterization of a Low Magnetic Zr-1Mo Alloy by Powder Bed Fusion Using a Fiber Laser
Fabrication of sinter-free conductive Cu paste using sub-10 nm copper nanoparticles
Fabrication artifacts and parallel loss channels in metamorphic epitaxial aluminum superconducting resonators
Fabricating Low-Cost Ionic-Organic Electronic Ratchets with Graphite Pencil and Adhesive Tape
Fabrication of photonic amorphous diamonds for terahertz-wave applications
Fabrication and characterization of cubic SrI2(Eu) scintillators for use in array detectors
Fabrication, assembly and testing of a glass interposer-based 3D systems in package
Fabrication and evaluation of thin layer PVDF composites using MWCNT reinforcement: Mechanical, electrical and enhanced electromagnetic interference shielding properties
Fabrication of a carbon nanotube-polyurethane composite electrode by in situ polyaddition for use in amperometric detection in capillary electrophoresis
Fabrication of a Miniature Paper-Based Electroosmotic Actuator
Fabrication of high resistivity cold-implanted InGaAsP photoconductors for efficient pulsed terahertz devices
Fabrication of fluorine-terminated diamond-like carbon thin film using a hyperthermal atomic fluorine beam
Fabrication of Sr- and Co-doped lanthanum chromite interconnectors for SOFC
Fabrication of Ordered GaAs Nanowhiskers Using Electron-Beam Lithography
Fabrication and Comparison of Thermochromic Material-Based Fiber-Optic Sensors for Monitoring the Temperature of Water
Fabrication and Characterization of Erbium-Doped Polymer Patterns by Lift-Off Process for Planar Optical Amplifiers
Fabrication and Characterization of Erbium-Doped Fluoropolymer Patterns via UV-Nanoimprint Lithography for Use in Planar Optical Amplifiers
Fabrication of novel microstructures based on orientation-dependent adsorption of surfactant molecules in a TMAH solution
Fabrication and Experimental Demonstration of a Four-Channel x 40 Gb/s TriPleX All-Optical Wavelength Conversion Platform
Fabrication of ZrO2 coatings on ferritic steel by wet-chemical methods as a tritium permeation barrier
Fabrication of Carbon Nanotube/Zinc Oxide Composite Films by Electrodeposition
Fabrication, testing and analysis of steel/composite DLS adhesive joints
Fabrication and characterization of a directional anemometer based on a single chip MEMS flow sensor
Fabrication, electrical characterization, and modeling of fully-porous pn junctions
Fabrication, characterization and magnetic behaviour of alumina-doped zinc ferrite nano-particles
Fabrication of exfoliated graphite nanoplatelets-reinforced aluminum composites and evaluating their mechanical properties and corrosion behavior
Fabricate An 8.35-GHz Frequency Synthesizer
Fabrication and characterization of the flexible neural microprobes with improved structural design
Fabrication and characterization of a radiation sensor based on bacteriorhodopsin
Fabrication and Activity of Silicate Nanoparticles and Nanosilicate-Entrapped Enzymes Using Polyethyleneimine As a Biomimetic Polymer
Fabrication of all-solid-state rechargeable lithium-ion battery using mille-feuille structure of Li0.35La0.55TiO3
Fabrication of multi-emitter array of CNT for enhancement of current density
Fabrication of Freestanding SiO2-Membrane Systems for Thermo-Optic Adjusting of SOI Photonic Wires
Fabrication and properties of a polymer capacitor made entirely from polyaniline
Fabrication and Characterization of an All-Diamond Tubular Flow Microelectrode for Electroanalysis
Fabric Texture Analysis Using Computer Vision Techniques
Fabrication-tolerant 1310 nm laterally coupled distributed feedback lasers with high side mode suppression ratios
Fabrication of metamaterials in the optical spectral range
Fabrication of "nano-rocket-tips" for plasmonic nanofocusing
Fabrication of Fine-grained Molybdenum Sintered Body via Modified Sintering Process
Fabrication, characterization and application of a microelectromechanical system (MEMS) thermopile for non-dispersive infrared gas sensors
Fabrication of Hemispherical Nano Structure on a Curved Al Surface Using Low-Temperature and High-Voltage Anodizing Method
Fabrication of Nano-Multilayers by Electrodeposition and Their Wear Resistance
Fabrication of flat capped carbon nanotubes using an arc-discharge method assisted with a Sm-Co catalyst
Fabrication of 2D protein microstructures and 3D polymer-protein hybrid microstructures by two-photon polymerization
Fabrication of a multi-applicable removable intraoral denture system for rodent research
Fabrication of Rare Earth-Doped Transparent Glass Ceramic Optical Fibers by Modified Chemical Vapor Deposition
Fabrication and Application of Miniaturized Dielectric Elastomer Stack Actuators
Fabrication of a membrane type double cavity vacuum-sealed micro sensor for absolute pressure based on front-side lateral etching technology
Fabrication of large-area hole arrays using high-efficiency two-grating interference system and femtosecond laser ablation
Fabrication of three-dimensional freestanding metal micropipes for microfluidics and microreaction technology
Fabrication of normally-closed bidirectional micropumps in silicon-polymer technology featuring photopatternable silicone valve lips
Fabrication of a normally-closed microvalve utilizing lithographically defined silicone micro O-rings
Fabrication of ultrafine and nanocrystalline WC-Co mixtures by planetary milling and subsequent consolidations
Fabrication of highly homogeneous As2Se3 glass under argon flow
Fabrication and characterization of colloidal crystal thin films
Fabrication and examination of oxidation resistance of zinc coated copper and brass components by chemical deposition
Fabrication of Artificial Washboard Pinning Structures in Thin Niobium Films
Fabrication and supercontinuum generation in dispersion flattened bismuth microstructured optical fiber
Fabrication of a thin walled beta ''-alumina electrolyte cells
Fabrication and Characterization of 50 nm Silicon Nano-Gap Structures
Fabrication and Characterization of Gold Nano-gaps for ssDNA Immobilization and Hybridization Detection
Fabrication and characterization of silver deposited micro fabricated quartz arrays for surface enhanced Raman spectroscopy (SERS)
Fabrication of CuxGey Nanoplatelets
Fabrication and Characterization of Si Nano-columns by Femtosecond Laser
Fabrication of aluminium foam through pressure assisted high frequency induction heated sintering dissolution process: an experimental observation
Fabrication and characterization of silicon woodpile photonic crystals with a complete bandgap at telecom wavelengths
Fabrication of planar polymer waveguides for evanescent-wave sensing in aqueous environments
Fabrication and coupling investigation of films of PbS quantum dots
Fabrication of thin SU-8 cantilevers: initial bending, release and time stability
Fabry disease and cystinosis, two lysosomal diseases: similarities and differences
Fabrication and testing of a CoNiCu/Cu CPP-GMR nanowire-based microfluidic biosensor
Fabrication Process of EUV-IL Transmission Grating
Fabrication of Replica Mold by Room Temperature Nanoimprinting using Organic Spin-on-glass
Fabrication of Micro Structure Surface by Etching Method
Fabrication of Microcoils with Narrow and High Aspect Ratio Coil Line
Fabrication of micro-capacitive inclination sensor by resin molding
Fabrication of UV range light guide plate
Fabrication of X-rays mask with carbon membrane for diffraction gratings
Fabrication of high hardness Ni mold with electroless nickel-boron thin layer
Fabrication of high precision X-ray mask for X-ray grating of X-ray Talbot interferometer
Fabrication of Planarized Discrete Track Media Using Gas Cluster Ion Beams
Fabrication of size-selected Pd nanoclusters using a magnetron plasma sputtering source
Fabrication of carbon nanotubes by electrical breakdown of carbon-coated Au nanowires
Fabrication of Nanosilicon Ink and Two-Dimensional Array of Nanocrystalline Silicon Quantum Dots
Fabrication of a mid-IR wire-grid polarizer by direct imprinting on chalcogenide glass
Fabrication and characterization of silicon nitride-based inert matrix fuels sintered with magnesium silicates
Fabrication of InP/InGaAs DHBTs with Buried SiO2 Wires
Fabrication of a-SiGeC:H solar cells using monomethyl germane by suppressing carbon incorporation for narrowing optical bandgap
Fabrication of patterned carbon nanotube thin films using electrophoretic deposition and ultrasonic radiation
Fabrication of cone-shaped boron doped diamond and gold nanoelectrodes for AFM-SECM
Fabrication of sloped sidewalls by inductively coupled plasma etching for silicon micro-optic structures
Fabrication of silica glass containing yellow oxynitride phosphor by the sol-gel process
Fabrication of Epitaxial Interface between Transition Metal Cyanides
Fabrication of Silicon Oxide Nanotips by Mechanical Contact and Elongation Methods
Fabrication and characterisation of Cu(In,Ga)Se-2 solar cells on polyimide
Fabrication and Characterization of Amorphous Polyethylene Terephthalate Optical Waveguides
Fabrication of a polymer with three-dimensional structure by the ion beam graft polymerization method
Fabrication of Fe3Si/CaF2 heterostructures ferromagnetic resonant tunneling diode by selected-area molecular beam epitaxy
Fabrication of 380 nm Ultra Violet Light Emitting Diodes on Nano-Patterned n-type GaN Substrate
Fabrication of Gradient Optical Filter Containing Anisotropic Bragg Nanostructure
Fabrication of surface textures by ion implantation for antireflection of silicon crystals
Fabrication of composite polyaniline/CNT nanofibers using an ultrasonically assisted dynamic inverse emulsion polymerization technique
Fabrication and Photochromism of High-density Diarylethene Monolayer Immobilized on a Quartz-glass Substrate
Fabrication and Characterization of a Back-Illuminated Resonant Cavity Enhanced Silicon Photo-Detector Working at 1.55 mu m
Fabrication of Gd0.5Sr0.5CoO3 film for SOFC cathode by pulsed laser deposition
Fabrication of metallic SPM tips by combining UV nanoimprint lithography and focused ion beam processing
Fabrication of 2-D quasiperiodic photonic crystals using single grating phase mask lithography
Fabrication and testing of Al-SiCp composite poppet valve guides
Fabrication of two-dimensional polymer photonic crystals by nanoimprinting using anodic porous alumina mold
Fabrication of Lithium-ion Microarray Battery by Electrophoresis
Fabrication of Three-Dimensional Battery Using Ceramic Electrolyte with Honeycomb Structure by Sol-Gel Process
Fabrication of Ordered Trench Structures with High Aspect Ratios by Anisotropic Anode Etching of Al(100)
Fabrication technology of heterojunctions in the lattice of a 2D photonic crystal based on macroporous silicon
Fabry-Perot Laser Characterization Based on the Amplified Spontaneous Emission Spectrum and the Fourier Series Expansion Method
Fabrication and characterization of multimodal magnetic-fluorescent polystyrene nanowires as selective cell imaging probes
Fabrication and electrical characterization of polyaniline/silicon carbide heterojunctions
Fabrication, charge carrier transport, and application of printable nanocomposites based on indium tin oxide nanoparticles and conducting polymer 3,4-ethylenedioxythiophene/polystyrene sulfonic acid
Fabrication of nanostructured silver substrates for surface-enhanced Raman spectroscopy
Fabrication and characterization of polysulfone/polyimide-zeolite mixed matrix membrane for gas separation
Fabric-mechanical property relationships of trabecular bone allografts are altered by supercritical CO(2) treatment and gamma sterilization
Fabrication of copper/aluminum composite tubes by spin-bonding process: experiments and modeling
Fabrication or preparation and characterization of new modified MCM-41/PSf nanocomposite membrane coated by PDMS
Fabrication and electrical characterization of red organic light emitting diode using an isatin derivative as an organic chromophore
Fabrication of integrated waveguide grating in azo polymers
Fabrication of Cellulose Nanofibers from Parenchyma Cells of Pears and Apples
Fabrication of optical inverted-rib waveguides using UV-imprinting
Fabrication of Electrode Groove on Silicon Solar Cell by High-Pressure Surface Discharge
Fabrication of high reflectivity chirped fiber Bragg gratings and its sensing applications
Fabrication of amorphous pharmaceutical materials by electrospraying into reduced pressure
Fabrication of Silicon-Based Actuators Using Branched Carbon Nano-Structures
Fabrication of salami-type porous metal and its high attenuation characteristic
Fabrication of cylindrical micro actuator by etching of TiNiCu shape memory alloy tube
Fabrication of Ferromagnetic Nanoconstriction Using Atomic Force Microscopy Nanoscratching
Fabrication of Photochromic Tungsten Oxide Based Composite Film Using Peroxoisopolytungstic Acid
Fabrication of various electroless Ni-P alloy/multiwalled carbon nanotube composite films on an acrylonitrile butadiene styrene resin
Fabrication of Planar Power Inductor for Embedded Passives in LSI Package for Hundreds Megahertz Switching DC-DC Buck Converter
Fabrication of plant protein microspheres for encapsulation, stabilization and in vitro release of multiple anti-tuberculosis drugs
Fabrication and characterization of epoxy/silica functionally graded composite material
Fabrication of a needle-type pH sensor by selective electrodeposition
Fabrication of thin self-supporting platinum targets using evaporation techniques
Fabrication and characterization of Al/SiCp composites by CAR process
Fabrication and characterisation of bulk Al2O3/Mo nanocomposite by mechanical milling and sintering
Fabrication and Characterization of Hydroxyapatite-Forsterite-Bioactive Glass Composite Nanopowder for Biomedical Applications
Fabrication and synthesis of electroless nickel-phosphorus coatings reinforced by incorporated chromium carbide-nickel-chrome particles
Fabrication and electrical characterization of p-Sb2S3/n-Si heterojunctions for solar cells application
Fabrication of embedded microfluidic channels in low temperature co-fired ceramic technology using laser machining and progressive lamination
Fabrication and characterization of GaP/polymer nanocomposites for advanced light emissive device structures
Fabrication of submicron metallic grids with interference and phase-mask holography
Fabrication of nanogaps by a progressive electromigration technique using wires of various thicknesses
Fabrication of Poly(lactic acid) Films with Resveratrol and the Diffusion of Resveratrol into Ethanol
Fabrication and cutting performance of cemented tungsten carbide micro-cutting tools
Fabrication of Micropatterns on Silica Glass by a Room-Temperature Imprinting Method
Fabrication of Microfluidic Platform with Optimized Fluidic Network toward On-Chip Parallel Systematic Evolution of Ligands by Exponential Enrichment Process
Fabrication of a Flexible and Transparent Touch Sensor Using Single-Walled Carbon Nanotube Thin-Films
Fabrication of a piezoelectric ceramic using a spark plasma sintering technique and its application for a focused ultrasound-assisted lipolysis system
Fabrication of CdS/SnS heterostructured device using successive ionic layer adsorption and reaction deposited SnS
Fabrication and Characterization of Ga2O3/ZnO Coaxial Nanowires
Fabrication of polynomial 3-D nanostructures in Si with a single-step process
Fabrication of Mo Thin Film by Hydrogen Reduction of MoO3 Powder for Back Contact Electrode of CIGS
Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithography
Fabrication of CuO and Cu2O Nanoparticles in a Thick Polyimide Film by Post Heat Treatment in a Controlled-Atmosphere
Fabrication and Thermal Characteristics of Liquid Crystalline Copolyester/OMMT Nanocomposite Films
Fabrication of oxide thin film transistor based on SOG dielectric and solution ZnO
Fabric tensor based boundary element analysis of porous solids
Fabrication and performance of bottom-emitting flip-chip bonded 980 nm vertical-cavity lasers with copper- and indium-plated heat-sinks
Fabrication of air-bridge Schottky diodes on germanium for high speed IR detectors
Fabrication of flexible UV nanoimprint mold with fluorinated polymer-coated PET film
Fabrication of Nanometer-scale Pillar Structures by Using Nanosphere Lithography
Fabrication and Evaluation of Nanopillar-Shaped Phase-Change Memory Devices
Fabrication of 6,13-bis(triisopropylsilylethynyl)-pentacene thin-film transistors with the silver ink transfer method using a polymer stamp
Fabrication and characterization of composite sol-gel coatings on porous ceramic substrate
Fabrication and DC Characterizations of AlGaN/GaN High Electron Mobility Transistors (HEMTs) with Fieldplate Over Passivation Layers
Fabrication and analysis of transmission gratings produced by the indirect laser etching technique
Fabricate a high-resolution sensor-to-USB interface
Fabrication and performance test of a silicon photo-strip detector coupled with a crystal scintillator
Fabrication Characteristics of Al2O3 pH-Ion Sensitive Field Effect Transistor Fabricated Using Atomic Layer Deposition and Sputter
Fabrication and Performance of Flexible OLEDs with AGZO/Ag/AGZO Multilayer Anode on Polyethersulfone Film
Fabrication of ion selective sensor using conducting polymer actuator
Fabrication of Adipose-Derived Mesenchymal Stem Cell Aggregates using Biodegradable Porous Microspheres for Injectable Adipose Tissue Regeneration
Fabrication of Nanoconcave Surface for Cell Immobilization in Cell-Based Chip
Fabrication and Characteristics of Vertical Type Organic Light Emitting Transistor Using Novel Blue Host Material
Fabrication of Biofilm in Nanoscale Consisting of Cytochrome f/2-MAA Bilayer on Au Surface for Bioelectronic Devices by Self-Assembly Technique
Fabrication of Micro/Nano Tools Inside a SEM
Fabricating of aspheric micro-lens array by excimer laser micromachining
Fabrication and characterization of silica modified polyimide-zeolite mixed matrix membranes for gas separation properties
Fabrication and characterization of thermally driven fast turn-on microvalve with adjustable backpressure design
Fabrication of All-tube p- and n-Type Carbon Nanotube Field-Effect Transistors by the Laser Transfer Method
Fabrication of High-Sensitivity Polycrystalline Silicon Nanowire Field-Effect Transistor pH Sensor Using Conventional Complementary Metal-Oxide-Semiconductor Technology
Fabrication and characterization of amorphous In Zn-O/SiOx/n-Si heterojunction solar cells
Fabrication of silicon nanopillar arrays and application on direct methanol fuel cell
Fabrication of resonant micro cantilevers with integrated transparent fluidic channel
Fabrication of Ge-MOS capacitors with high quality interface by ultra-thin SiO2/GeO2 bi-layer passivation combined with the subsequent SiO2-depositions using magnetron sputtering
Fabrication of Ge Metal-Oxide-Semiconductor Capacitors with High-Quality Interface by Ultrathin SiO2/GeO2 Bilayer Passivation and Postmetallization Annealing Effect of Al
Fabrication of low-crystalline carbonate apatite foam bone replacement based on phase transformation of calcite foam
Fabrication and Optical Properties of Gold Nanorod-Polymer Composite Films
Fabrication of Deep-Ultraviolet-Light-Source Tube Using Si-Doped AlGaN
Fabrication of ultrafine-grained Ti-(5-50wt.%)Al2O3 composites using high-pressure torsion
Fabrication of Modified SWNTs/Glassy Carbon Electrode for the Determination of Dopamine
Fabrication and characterization of solar cells containing polydiacetylene
Fabrication of functionally graded grinding wheel by a centrifugal mixed-powder method for CFRP-drilling applications
Fabrication of Ion-Induced Carbon-Cobalt Nanocomposite Fibers: Effect of Cobalt Supply Rate
Fabrication of a pen-shaped portable biochemical reaction system based on magnetic bead manipulation
Fabrication of Nonpolar a-Plane Nitride-Based Solar Cell on r-Plane Sapphire Substrate
Fabrication, Characterization and Low-Velocity Impact Testing of Hybrid Sandwich Composites With Polyurethane/Layered Silicate Foam Cores
Fabrication and Testing of a PZT Strain Sensor for Soil Applications
Fabrications of potential imaging probes based on a beta-alkyl substituted porphyrin with a terpyridine external coordination site
Fabrication and physical properties of double perovskite SrLaVMoO6 thin films
Fabrication Processes for Capacity-Equalized Mold with Fine Patterns
Fabrication Process and Estimation of Organic Alloy Films Using Single-Evaporation Source of the Mixture of Two Hole-Transport Materials
Fabrication and characterization of unidirectional CF/Al composites
Fabrication of a pneumatically driven single-cell trap
Fabrication and theoretical analysis of GaN-based vertical light-emitting diodes with SiO2 current blocking layer
Fabrication of Transparent TiO2-x Channel-Based Thin Film Transistors using an Oxygen-Deficient TiO2-x Target
Fabrication of four-point biped robot foot module based on contact-resistance force sensor and its evaluation
Fabrication of an electro-absorption transceiver with a monolithically integrated optical amplifier for fiber transmission of 40-60 GHz radio signals
Fabry's disease cardiomyopathy
Fabrication of (K0.47Na0.51Li0.02)(Nb0.8Ta0.2)O-3 Multilayer Ceramic Actuators with AgPd-Ceramic Composite Inner Electrode
Fabrication and characterization of Ag intermediate transparent and conducting TiON/Ag/TiON multilayer films
Fabrication of electrode-electrolyte interfaces in all-solid-state rechargeable lithium batteries by using a supercooled liquid state of the glassy electrolytes
Fabrication and Mechanical Properties of Nanostructured Al2O3-MgSiO3-SiO2 Composites Synthesized by Pulsed Current Activated Combustion of Mechanically Activated Powder
Fabrication and optical study of Ag@SnO2 core-shell structure nanoparticle thin films
Fabrication of OLEDs without photolithography patterning
Fabrication and Chromatographic Performance of Porous-Shell Pillar-Array Columns
Fabrication of air-clad fibers for near-IR laser application
Fabrication and characteristics of nano-aperture vertical-cavity surface-emitting laser
Fabrication of Ni-based amorphous composite coating using laser processing and its nano-indentation test
Fabrication of highly ordered polyaniline nanocone on pristine graphene for high-performance supercapacitor electrodes
Fabrication of Semiconducting Methylammonium Lead Halide Perovskite Particles by Spray Technology
Fabrication and Characteristic Tests of a Novel Low-Resistance Joint Structure for YBCO Coated-Conductors
Fabrication and property of Co3O4and La0.6Sr0.4CoO3-δdual layer coatings on SUS430 steel
Fabrication and Performance of Solid Oxide Fuel Cells with La0.2Sr0.7TiO3–δas Anode Support and La2NiO4+δas Cathode
Fabrication of polymer microlens array with controllable focal length by modifying surface wettability
Fabrication and characterization of d%26lt;inf%26gt;33%26lt;/inf%26gt;mode (1-x)Pb(Mg%26lt;inf%26gt;1/3%26lt;/inf%26gt;Nb%26lt;inf%26gt;2/3%26lt;/inf%26gt;)O%26lt;inf%26gt;3-x%26lt;/inf%26gt;PbTiO%26lt;inf%26gt;3%26lt;/inf%26gt;(PMN-PT) energy harvester
Fabrication method and compressive properties of CFRP isogrid cylindrical shells
Fabrication of a Multimode Interference Device in a Low-Loss Flat-Fiber Platform Using Physical Micromachining Technique
Fabrication and optical characterization of p-type single macro-porous silicon for detection of nano-sized functionalized superparamagnetic beads
Fabrics produced mimetically during static metamorphism in retrogressed eclogites from the Zermatt-Saas zone, Western Italian Alps
Fabrication of nanoscale glass fibers by electrospinning
Fabrication and in vitro evaluation of bidirectional release and stability studies of mucoadhesive donut-shaped captopril tablets
Fabrication of InP/InGaAs SHBT on Si Substrate by Using Transferred Substrate Process
Fabricated cantilever for AFM measurements and manipulations: Pre-stress analysis of stress fibers
Fabrication and Mechanical Properties of Al-Based In Situ Nano-Composites Reinforced by Al2O3 and Intermetallic Compounds
Fabrication and Characterization of Bragg Reflector Slow-Light Waveguide with Oxide Lateral Confinement
Fabrication of a heterostructural fibrillated collagen matrix for the regeneration of soft tissue function
Fabrication, characterization, and optical modeling of a new architecture for organic photovoltaics: The vertically orientated stack device
Fabrication and Analysis of the Oriented LiCoO2 by Slip Casting in a Strong Magnetic Field
Fabrication of Human IgG Sensors Based on Porous Silicon Interferometer Containing Bragg Structures
Fabrication and characterization of microcapsules with polyamide-polyurea as hybrid shell
Fabrication of Stem Cell Chip with Peptide Nanopatterned Layer to Detect Cytotoxicity of Environmental Toxicants
Fabrication of aluminium doped zinc oxide (AZO) transparent conductive oxide by ultrasonic spray pyrolysis
Fabrication of ZnO nanorods by electrochemical deposition process and its photovoltaic properties
Fabrication and electrical properties of Si-based La10-xBix(SiO4)(6)O-3 apatite ionic conductor
Fabrication of hydroxyapatite bioceramics from the recycling of pig bone
Fabrication of a n-ZnO/p-Si heterojunction diode by ultra-high vacuum magnetron sputtering
Fabrication of bottle-shaped nanochannels in fused silica using a self-closing effect
Fabrication and Circuit Modeling of NMOS Inverter Based on Quantum Dot Gate Field-Effect Transistors
Fabrication of suspended electrokinetic microchannels from directly written sacrificial polymer fibers
Fabrication of Large-Area CoNi Mold for Nanoimprint Lithography
Fabrication of Noble-metal Nanoparticle-doped SiO2-B2O3-P2O5 Waveguide Films
Fabrication of pseudo-ceramide-based lipid microparticles for recovery of skin barrier function
Fabrication of Copper Nanoparticles in a Thick Polyimide Film Cured by Rapid Thermal Annealing
Fabrication and analysis of butterfly-type piezoelectric actuators (vol 61, pg 882, 2012)
Fabrication of Ce0.8Gd0.2O2-delta thin-film oxygen transport membranes by reactive magnetron sputtering
Fabrication and analysis of butterfly-type piezoelectric actuators
Fabrication and characterization of a silicon-carbon nanocomposite material by pyrolysis for lithium secondary batteries
Fabrication of IZO thin films for flexible organic light emitting diodes by RF magnetron sputtering
Fabrication of Microfluidic Hemicylindrical Lenses for Light Scanning of Laser Sheet
Fabrication and characterization of n-In0.4Ga0.6N/p-Si solar cell
Fabrication of an Organic Thin-Film Transistor by Inkjet Printing
Fabrication and characterization of epithelial scaffolds for hair follicle regeneration
Fabrication and characterization of ferroelectric multilayered films fabricated by using solvent blending
Fabrication and Characteristics of mc-Si Solar Cells with RIE-Textured Surface
Fabrication and Characterization of Biodegradable Nanofiber Containing Insulin
Fabrication of Mass Micro-Holes Using the Hybrid Precision Extruded-Wax Electroforming and Micro-EDM Processes
Fabrication and Characterization of Flexible PZT Fiber and Composite
Fabrication of porous material for micro component application by direct X-ray lithography and sintering