Home
|
Learning Center
|
中文版
Publications
站内成果搜索:
搜索
Dependence of DNA-Protein Cross-Linking via Guanine Oxidation upon Local DNA Sequence As Studied by Restriction Endonuclease Inhibition
Dependence of the Generation Efficiency of High-Order Sum and Difference Frequencies in the Extreme Ultraviolet Region on the Wavelength of an Added Tunable Laser Field
Dependence of Single-Molecule Conductance on Molecule Junction Symmetry
Dependence on parameters for a discrete Emden-Fowler equation
Dependence on diameter and growth direction of apparent strain to failure of Si nanowires
Dependence of Zn(1-x)Mg(x)O : P film properties on magnesium concentration
Dependence of cell survival on instantaneous dose rate of a linear accelerator
Deperoxidation of cyclohexyl hydroperoxide by silica-supported alkoxo-tantalum complexes
Dependence of Kambersky damping on Fermi level and spin orientation
Dependence of fast-ion transport on the nature of the turbulence in the Large Plasma Device
Dependence of peak height of glow curves on heating rate in thermoluminescence
Dependence of surface porosity on the polishing depth of porcelain stoneware tiles
Dependence scores predict prognosis of medication overuse headache: A prospective cohort from the Akershus study of chronic headache
Dependence of the optical properties on the substrate temperature in ZnO thin films grown on flexible polyimide substrates
Dependence of the Refractive Index of a Coating on a Long-Period Fiber Grating on the Initial Coupling Strength
Dependence of Si-Faceted Dendrite Growth Orientation on Twin Spacing and Undercooling
Dependence of coupling coefficient and lasing wavelength on injection current in pure gain-coupled distributed feedback semiconductor lasers
Dependence of surface properties of silylated silica on the length of silane arms
Dependence of Eigenvalues of a Class of Higher-Order Sturm-Liouville Problems on the Boundary
Dependence of Plasmonic Properties of Silver Island Films on Nanoparticle Size and Substrate Coverage
Dependence of the strain energies on grain orientations in HCP metal films
Dependence of stresses and strain energies on grain orientations in FCC metal films
Dependence of Effective Doping Concentration on the Molecular Structure of Dopant: ABCV-P Doped OLEDs with Broad Range of and High Doping Concentration
Dependence of optical attenuation coefficient and mechanical tension of irradiated human cartilage measured by optical coherence tomography
Dependence of Reaction Rates for Bidirectional PCET on the Electron Donor Electron Acceptor Distance in Phenol Ru(2,2 '-Bipyridine)(3)(2+) Dyads
Dependence of the effect of aerosols on cirrus clouds on background vertical velocity
Dependence of aerosol-cloud interactions in stratocumulus clouds on liquid-water path
Dependence of electrical and optical properties of sol-gel prepared undoped cadmium oxide thin films on annealing temperature
Dependence of dielectric properties on BT particle size in EP/BT composites
Dependence of the secondary flow of a low-density polyethylene on processing parameters as investigated by laser-Doppler velocimetry
Dependence of stacking fault and twin densities on deposition conditions during 3C-SiC heteroepitaxial growth on on-axis Si(001) substrates
Dependence of microstructure and mechanical properties on hot-extrusion temperatures of the developed high-strength Cu40Zn-CrFeTiSn brass by powder metallurgy
Dependence of Biodegradation and Release Behavior on Physical Properties of Poly(caprolactone)-Based Polyurethanes
Dependence of the Schottky barrier on the work function at metal/SiON/SiC(0001) interfaces identified by first-principles calculations
Dependence of visual cell properties on intracortical synapses among hypercolumns: Analysis by a computer model
Dependence of Protein Recognition of Temperature-Sensitive Imprinted Hydrogels on Preparation Temperature
Dependence of nucleotide substitutions on Ung2, Msh2, and PCNA-Ub during somatic hypermutation
Dependence of Electron Overflow on Emission Wavelength and Crystallographic Orientation in Single-Quantum-Well III-Nitride Light-Emitting Diodes
Dependence of intrinsic viscosity on tacticity of polystyrene chains
Dependency of the hydrogen bonding capacity of the solvent anion on the thermal stability of feruloyl esterases in ionic liquid systems
Dependence of Surface InGaAs Quantum Dot Luminescence on the Molecular Properties of the Environment
Dependence of Real Refractive Indices on O:C, H:C and Mass Fragments of Secondary Organic Aerosol Generated from Ozonolysis and Photooxidation of Limonene and alpha-Pinene
Dependence of SOA oxidation on organic aerosol mass concentration and OH exposure: experimental PAM chamber studies
Dependence of strain-energy density on the grain orientation in fcc-polycrystalline films
Dependence of Electrical and Time Stress in Organic Field Effect Transistor with Low Temperature Forming Gas Treated Al2O3 Gate Dielectrics
Dependence of mu-conotoxin block of sodium channels on ionic strength but not on the permeating [Na(+)] - Implications for the distinctive mechanistic interactions between Na(+) and K(+) channel pore-blocking toxins and their molecular targets
Dependency of mechanochemical reactions forming complex oxides on the crystal structures of starting oxides
Dependency of particle sizes and colloidal stability of polyelectrolyte complex dispersions on polyanion structure and preparation mode investigated by dynamic light scattering and atomic force microscopy
Dependence of the electrical properties of the ZnO thin films grown by atomic layer epitaxy on the reactant feed sequence
Dependence of the NH3 gas flow rate on the electrical properties of p-type ZnO thin films prepared by using atomic layer epitaxy
Dependence of Achievable Plan Quality on Treatment Technique and Planning Goal Refinement: A Head-and-Neck Intensity Modulated Radiation Therapy Application
Dependence of degree of orientation of copper oxide nuclei on oxygen pressure during initial stages of copper oxidation
Dependence on sampling rates of Radiello((R)) diffusion sampler for BTEX measurements with the concentration level and exposure time
Dependence of zero-shear viscosity and steady-state compliance on molecular weight between entanglements for ethylene-cycloolefin copolymers
Dependence of the Size of Nanoparticles of Lead Sulfide PbS on the Chemical Affinity of Its Formation Reaction
Dependence of near-surface magnetic susceptibility on dust accumulation rate and precipitation on the Chinese Loess Plateau
Dependences of Brillouin frequency shift on strain and temperature in optical fibers doped with rare-earth ions
Dependence of elastic properties of argillaceous rocks on moisture content investigated with optical full-field strain measurement techniques
Dependence of Processing Parameters on Structural Properties and Microstructures of Pulsed Laser Deposited LiMn2O4 Thin Films
Dependence of mechanical behavior of the murine tail disc on regional material properties: A parametric finite element study
Dependency and precision of the refocusing criterion based on amplitude analysis in digital holographic microscopy
Dependence on the crystallographic orientation of Au for the potential window of the electrical double-layer region in imidazolium-based ionic liquids
Dependence on Temperature and Energy of the Heteroepitaxy of Small Metallic Nanoclusters
Dependence of polycrystalline silicon thin-film transistor characteristics on the grain-boundary location
Dependence of recombination mechanisms and strength on processing conditions in polymer solar cells
Dependence of transport through carbon nanotubes on local coulomb potential
Dependence of the Earth's gravity model derived from satellite accelerations on a priori information
Dependence of heart rate variability on heart period in disease and aging
Dependence of agonist activation on an aromatic moiety in the DPLIY motif of the gonadotropin-releasing hormone receptor
Dependence of the silicon nanowire diameter on ambient pressure
Dependence of the threshold energy of femtosecond laser ejection of gold nanoprisms from quartz substrates on the nanoparticle environment
Dependence of Zener parameter on the nanograins formed during friction stir processing of interstitial free steels
Dependence of optical gain and interband transitions on the CdTe well width and temperature for CdTe/ZnTe single quantum wells
Dependence of galaxy shape on environment in the Sloan Digital Sky Survey
Dependence of depurination of oligoribonucleotides by ricin A-chain on divalent cations and chelating agents
Dependence of band structures on stacking and field in layered graphene
Dependence of effective molarity on linker length for an intramolecular protein-ligand system
Dependence of long-term potentiation on the interval between A- and C- responses of the spinal dorsal horn neurons in rats
Dependence of PrePhoA-phospholipid interaction in vivo and in vitro on charge of signal peptide N-terminus and content of anionic phospholipids in membranes
Dependence of eelgrass (Zostera marina) light requirements on sediment organic matter in Massachusetts coastal bays: Implications for remediation and restoration
Dependence of antibody somatic diversification on gut-associated lymphoid tissue in rabbits
Dependence of dust obscuration on star formation rates in galaxies
Dependence of field emission of ZnO nanowire on vacuum pressure
Dependence of Magnetic Anisotropy in Co20Fe60B20 Free Layers on Capping Layers in MgO-Based Magnetic Tunnel Junctions with In-Plane Easy Axis
Dependence of crystal orientation in Al-induced crystallized poly-Si layers on SiO2 insertion layer thickness
Dependence on Nuclear Localization Signals of the Opioid Growth Factor Receptor in the Regulation of Cell Proliferation
Dependence of premixed low-temperature diesel combustion on fuel ignitability and volatility
Dependence of Extraction Properties of 2,6-Dicarboxypyridine Diamides on Extractant Structure
Dependence of the solution and transfer enthalpies of DL-alpha-alanyl-DL-alpha-asparagine on the composition of water-amide binary solvents at 298.15 K
Dependence of luminescent properties and crystal structure of Li-doped ZnO nanoparticles upon Li content
Dependence on the F0F1-ATP synthase for the activities of the hydrogen-oxidizing hydrogenases 1 and 2 during glucose and glycerol fermentation at high and low pH in Escherichia coli
Dependence of exchange coupling on interfacial conditions in SmCo5/Co system: A first-principles study
Dependence of the properties of sputter deposited Al-doped ZnO thin films on base pressure
Dependency on the polycomb gene Ezh2 distinguishes fetal from adult hematopoietic stem cells
Dependence of the liquid absorption behavior of nonwovens on their material and structural characteristics: Modeling and experiments
Dependence of electrical and mechanical durability on Zn content and heat treatment for co-sputtered ITZO films
Dependence of decaying homogeneous isotropic turbulence on inflow conditions
Dependence of NiO microstructure on the electrospinning conditions
Dependence of the hardness of AlxGa1-xAs on composition and effect of oxidation
Dependence of camera lens induced radial distortion and circle of confusion on object position
Dependence of the amplitude of Pc5-band magnetic field variations on the solar wind and solar activity
Dependence of the Catalytic Activity of Ag/Al2O3 on the Silver Concentration in the Selective Reduction of NOx with n-Hexane in the Presence of H-2
Dependence of Precipitation Scaling Patterns on Emission Scenarios for Representative Concentration Pathways
Dependence of Hydrocarbon Sensitivity on the Distance of Linked Phenyl Group to CdSe Quantum Dots Surfaces
Dependent negative life events and sleep quality: An examination of gene-environment interplay
Dependence of Laser-Induced Incandescence on Physical Properties of Black Carbon Aerosols: Measurements and Theoretical Interpretation
Dependence of the atomic structure and surface relief of platinum foils on the annealing and rolling conditions
Dependence of an electrochemical cell performance upon the thickness of the ionic conductor
Dependence of crystal stress evolution on the vertices of the single crystal yield surface and the effect from the intergranular misorientation during plastic deformation
Dependence of the cutoff in lithium plasma harmonics on the delay between the prepulse and the main pulse
Dependence of Structural and Electronic Properties of Uranium Monochalcogenides on Exchange-Correlation Energy Functionals
Dependence of pK(a) on solute cavity for diprotic and triprotic acids
Dependence of pedestal performance on the characteristics of the H-mode pedestal
Dependence of radon emanation of red mud bauxite processing wastes on heat treatment
Dependence of the Fluctuation-Dissipation Temperature on the Choice of Observable
Dependence of micro-drop generation performance on dispenser geometry
Dependence of the femtosecond laser refractive index change thresholds on the chemical composition of doped-silica glasses
Dependence between Cu concentration in the liver, kidneys and skeletal muscles of canine females
Dependence of the evolution of the cavity radiation of a coherently pumped correlated emission laser on dephasing and phase fluctuation
Dependence of Golgi apparatus integrity on nitric oxide in vascular cells: implications in pulmonary arterial hypertension
Dependence of Hearing Changes on the Dose of Intratympanically Applied Gentamicin: A Meta-Analysis Using Mathematical Simulations of Clinical Drug Delivery Protocols
Dependent Gaussian mixture models for source separation
Dependence of slip velocity on operating parameters of air-lift bioreactors
Dependence of Colorectal Cancer Risk on the Parent-of-Origin of Mutations in DNA Mismatch Repair Genes
Dependence of Spontaneous Electrical Activity and Basal Prolactin Release on Nonselective Cation Channels in Pituitary Lactotrophs
Dependence of Renal Blood Flow on Renal Artery Stenosis Measured Using CT Angiography
Dependence of the unique solution of a periodic boundary value problem on the parameter
Dependency of Calcium Alternans on Ryanodine Receptor Refractoriness
Dependence of spontaneous neuronal firing and depolarisation block on astroglial membrane transport mechanisms
Dependence of electrical and thermal conductivity on temperature in directionally solidified Sn-3.5 wt% Ag eutectic alloy
Dependence and Caregiver Burden in Alzheimer's Disease and Mild Cognitive Impairment
Dependence regulation in newlywed couples: A prospective examination
Dependence of photoacoustic speckles on boundary roughness
Dependence of Magnetization Dynamics on Anisotropy in Thin Films Driven by Spin-Polarized Currents
Dependence of the trap density and the distribution on the current bistability in organic bistable devices
Dependence of thermoelectric properties on the solid loading of cast tapes in laminated and textured Ca3Co4O9 ceramics
Dependence of noise in magnetic tunnel junction sensors on annealing field and temperature
Dependence of resistance drift on the amorphous cap size in phase change memory arrays
Dependence of structural and electrical properties of AlGaN/GaN HEMT on Si(111) on buffer growth conditions by MBE
Dependence of the Capacitive Deionization Performance on Potential of Zero Charge Shifting of Carbon Xerogel Electrodes during Long-Term Operation
Dependence of the efficiency improvement of organic light-emitting diodes on the thickness of the Cs2CO3 electron-injection layer
Dependence Receptors: The Trophic Theory Revisited
Dependence Receptors: From Basic Research to Drug Development
Dependence of cross-relaxation on temperature and concentration from the D-1(2) level of Pr3+ in YPO4
Dependence of Cu electromigration resistance on selectively deposited CVD Co cap thickness
Dependence of the retinal Ganglion cell's responses on local textures of natural scenes
Dependable Humanoid Navigation System Based on Bipedal Locomotion
Dependence on the Microtubule Network and 90-kDa Heat Shock Protein of Phenobarbital-Induced Nuclear Translocation of the Rat Constitutive Androstane Receptor
Dependencies among Editing Sites in Serotonin 2C Receptor mRNA
Dependence of property, cathode characteristics, thermodynamic stability, and average and local structures on heat-treatment condition for LiNi0.5Mn0.5O2 as a cathode active material for Li-ion battery
Dependence of the period on the rate of protein degradation in minimal models for circadian oscillations
Dependence of trabecular structure on bone quantity: A comparison between osteoarthritic and non-pathological bone
Dependence of low-potential CO electrooxidation on the number of Pt monolayers on gold
Dependence of photocurrent and conversion efficiency of titania-based solar cell on the Q(y) absorption and one electron-oxidation potential of pheophorbide sensitizer
Dependency of caspase-1 activation induced in macrophages by Listeria monocytogenes on cytolysin, listeriolysin O, after evasion from phagosome into the cytoplasm
Dependence on PI3K/Akt signaling for malignant rhabdoid tumor cell survival
Dependence of energy conversion efficiency of dye-sensitized solar cells on the annealing temperature of TiO2 nanoparticles
Dependencies among attributes given by fuzzy confirmation measures
Dependence of heat transport on toroidal rotation in conventional H-modes in JT-60U
Dependence of Corneal Stem/Progenitor Cells on Ocular Surface Innervation
Dependent Rational Providers
Dependence of DNA Double Strand Break Repair Pathways on Cell Cycle Phase in Human Lymphoblastoid Cells
Dependence of Variability of Neuronal Responses in the Frog Torus Semicircularis on the Parameters of Acoustic Stimuli
Dependence of Stereoelectronic and Charge Effects on pK(a) Values of 1,3-Dithiane-Derived Sulfides, Sulfoxides, and Sulfones: An Experimental and Computational Investigation
Dependence of Wilms tumor cells on signaling through insulin-like growth factor 1 in an orthotopic xenograft model targetable by specific receptor inhibition
Depersonalization: A selective impairment of self-awareness
Dependence of Thermodynamic Stability, Crystal and Electronic Structures and Battery Characteristic on Synthetic Condition and Li Content for LixMn0.5Ni0.5O2 as a Cathode Active Material of Li-Ion Battery
Dependence on temperature and guanine-cytosine content of bubble length distributions in DNA
Dependence of the acceleration of the outer edge of a stellar envelope on supernova explosion energy
Dependence Calibration in Conditional Copulas: A Nonparametric Approach
Dependence structure between nominal and index-linked bond returns: a bivariate copula and DCC-GARCH approach
Dependence of ferroelectric and magnetic properties on measuring temperatures for polycrystalline BiFeO3 films
Depending on nature: Ecosystem services for human livelihoods
Dependency of stratiform precipitation on a two-moment cloud microphysical scheme in mid-latitude squall line
Dependence of Chemical Shift Difference on Core-Level
Dependent scattering in Intralipid (R) phantoms in the 600-1850 nm range
Dependence of Dynamic Buckling of a Rod on the Initial Conditions
Dependences of the optical absorption and photovoltaic properties of CdS quantum dot-sensitized solar cells on the CdS quantum dot adsorption time
Dependence of NMDA/GSK-3 beta Mediated Metaplasticity on TRPM2 Channels at Hippocampal CA3-CA1 Synapses
Dependence of the Stability of the Least Mean Fourth Algorithm on Target Weights Non-Stationarity
Dependence of magnetoresistance on dopant density in phosphorous doped silicon
Dependence of surface free energy on molecular orientation in polymer films
Dependence of the cyclic stress-strain curve on loading history and its interaction with fatigue of 304L stainless steel
Dependence of fatigue life of low-pressure die-cast A356 aluminum alloy on microporosity variation
Dependency of phenprocoumon dosage on polymorphisms in the VKORC1, CYP2C9, and CYP4F2 genes
Dependence of De-molding Force on Process Conditions in UV Nanoimprint
Dependence of surface enhanced Raman scattering on the plasmonic template periodicity
Dependence of Cardiac Transverse Tubules on the BAR Domain Protein Amphiphysin II (BIN-1)
Dependable Low-altitude Obstacle Avoidance for Robotic Helicopters Operating in Rural Areas
Dependence of magnetic properties on the growth temperature of Mn0.04Ge0.96 grown on Si (001)
Dependence of the Structural, Electrical and Magnetic Properties of YBa2Cu3O7-delta Bulk Superconductor on the Ag Doping
Dependence of Pyranose Ring Puckering on Anomeric Configuration: Methyl Idopyranosides
Dependence of NAO variability on coupling with sea ice
Dependence of Cardiac Trabeculation on Neuregulin Signaling and Blood Flow in Zebrafish
Dependence of the Cyanobacterium Prochlorococcus on Hydrogen Peroxide Scavenging Microbes for Growth at the Ocean's Surface
Depersonalization/Derealization Disorder After Exposure to Mefloquine
Dependence of interface conductivity on relevant physical parameters in polarized Fermi mixtures
Dependence of the D-5(0) -> F-7(4) transitions of Eu3+ on the local environment in phosphates and garnets
Dependence of beta 3-adrenergic signaling on the adipokine leptin in cardiac myocytes
Dependence of the neutron and gamma-ray emission of Mercury on its surface composition and temperature
Dependence of Er:Yb-codoped 1.5 mu m amplifier on wavelength-tuned auxiliary seed signal at 1 mu m wavelength
Dependence of Microwave Dielectric Properties on Al2O3 Filler of Polyvinyl Butyral-Matrix Composites
Dependence of characteristic properties of Hg-Ba-Ca-Cu-O superconductors on the preparation process
Dependence of catalytic activity on electrode size
Dependence of efficiency improvement and operating-voltage reduction of OLEDs on thickness variation in the PTFE hole-injection layer
Dependent Coverage and Use of Preventive Care under the Affordable Care Act
Dependence of electronic properties of epitaxial few-layer graphene on the number of layers investigated by photoelectron emission microscopy
Dependence of Polypropylene Yarn Mechanical Properties on Manufacturing Parameters
Dependence of effective screening length in granular columns on bead and silo sizes and their ratio
Dependence of beating dynamics on the ellipticity of a Gaussian beam in graded-index absorbing nonlinear fibers
Dependence of Thyroid Sonographic Markers of Malignancy and Its Influence on the Diagnostic Value of Sonographic Findings
Dependence on the initial conditions of scalar mixing in the turbulent wake of a circular cylinder
Dependence of exogenous SERCA gene expression on coxsackie adenovirus receptor levels in neonatal and adult cardiac myocytes
Dependence of saltation characteristics on bed organisation in numerical simulation
Dependence and Influence of Projectile Energy and Target Mass on the Production of Light Charged Particles and Intermediate Mass Fragments in Proton Induced Reactions
Dependence of sustainability on country risk indicators in EU Baltic Sea region countries
Dependence of radon emanation of soil on lithology
Dependence of Modulation Bandwidth on Size of Optical Injection Locked Semiconductor Ring Laser
Dependence of Transformation Temperatures of NiTi-based Shape-Memory Alloys on the Number and Concentration of Valence Electrons
Dependence of luminescence efficiency on dopant concentration and sintering temperature in the erbium-doped Ba0.7Sr0.3TiO3 thin films
Dependence of phase-2 reentry and repolarization dispersion on epicardial and transmural ionic heterogeneity: a simulation study
Dependence of leukemic cell proliferation and survival on H2O2 and L-arginine
Dependence of the relativistic electron energy spectra during the magnetic storm recovery phase on the acceleration and loss rates
Dependence of the magnetoelectric coupling in NZFO-PZT laminate composites on ferrite compactness
Dependence of Brillouin Frequency Shift on Temperature and Strain in Poly(methyl methacrylate)-Based Polymer Optical Fibers Estimated by Acoustic Velocity Measurement
Dependence of ablative ability of high-intensity focused ultrasound cavitation-based histotripsy on mechanical properties of agar
Dependence of the fused-silica etch rate on the etch mask opening diameter
Dependence of the synthesis condition on the dielectric behaviors of the 0.75Pb(Fe2/3W1/3)O-3-0.25PbTiO(3) based ceramics
Dependence of the upper critical field on the defect concentration in MgB2 and the electronic structure parameters
Dependency of boosted tagging algorithms on the event color structure
Dependence of potentiometric oxygen sensing characteristics on the nature of electrodes
Dependence of the enantioselectivity on reversion of layer directions in cholamide inclusion compounds
Dependence of the non-linear mass power spectrum on the equation of state of dark energy
Dependence of annealing time on structural and morphological properties of Ca(Zr0.05Ti0.95)O-3 thin films
Dependence of optical properties on the preparation methods of poly[(9,9 '-dialkylfluorene-2,7-dlyl)-alt-(1,3,4-oxadiazole-2,5-diyl)]
Dependence of the critical current density on the first matching field density
Dependence of the superconducting transition temperature of single and polycrystalline MgB2 on hydrostatic pressure
Dependence of magnetoelectric properties of La0.8Ba0.2MnO3 on Mn valence of oxide precursors
Dependence of crystal structure and work function of WNx films on the nitrogen content
Dependence of isospin fractionation process on the neutron-proton ratio of a colliding system in intermediate energy heavy-ion collisions
Dependence of catalytic activity of metal-containing particles on degree of ordering rather than on size and shape. Pd and Ni-catalyzed carbon-heteroatom bond formation
Dependence of Multidrug Resistance Protein-Mediated Cyclic Nucleotide Efflux on the Background Sodium Conductance
Dependence of ohmic contact resistance on barrier thickness of AlN/GaN HEMT structures
Dependence of effective spectrum width of synchrotron radiation on particle energy
Dependence of the Ce(III)/Ce(IV) ratio on intracellular localization in ceria nanoparticles internalized by human cells
Dependence of the Ce(III)/Ce(IV) ratio on intracellular localization in ceria nanoparticles internalized by human cells (vol 9, pg 1527, 2017)
Dependence evolution in the Spanish disabled population: a functional data analysis approach
Dependence of nighttime sleep duration in one-month-old infants on alterations in natural and artificial photoperiod
Dependence of Asian honeybee on deciduous woody plants for pollen resource during spring to mid-summer in northern Japan
Dependence of crystallographic orientation on amount of Bi(OH)(3) precursor and annealing temperature in monoclinic (100) Bi2SiO5 films chemically grown on amorphous SiO2 substrate
Dependence of the hydration status of bacterial light-harvesting complex 2 on polyol cosolvents
Dependent Domain Model of Cylindrical Pores
Dependence of the Average Mobility of Ions in Air with Pressure and Humidity
Dependence of p53-deficient cells on the DHX9 DExH-box helicase
Dependent judgment analysis: A Markov chain based approach for aggregating crowdsourced opinions
Dependability of Data Derived From Time Sampling Methods With Multiple Observation Targets
Dependence of Z Parameter for Tensile Strength of Multi-Layered Interphase in Polymer Nanocomposites to Material and Interphase Properties
Dependence changes between the carbon price and its fundamentals: A quantile regression approach
Dependence of friction coefficient on the resolution of asperities in metallic rough surfaces under cyclic loading
Dependence of mesomorphism on geometrical shapes of isomeric and nonisomeric series of chalconyl esters
Dependence of Coherence Scales of Ionospheric Scintillation Patterns on Magnetic Activity
Dependence of the 0.5 x (2e(2)/h) conductance plateau on the aspect ratio of InAs quantum point contacts with in-plane side gates
Dependence of twinned volume fraction on loading mode and Schmid factor in randomly textured magnesium
Dependency in State Transitions of Wind Turbines-Inference on Model Residuals for State Abstractions
Dependence of Gully Networks on Faults and Lineaments Networks, Case Study from Hronska Pahorkatina Hill Land
Dependency on aquaculture in northern Vietnam
Dependence of speckle contrast on the light spectral broadening and the roughness root mean square
Dependent Measure and Time Constraints Modulate the Competition Between Conflicting Feature-Based and Rule-Based Generalization Processes
Dependence on b-value of the direction-averaged diffusion-weighted imaging signal in brain
Dependence of transition width on current and critical current in transition-edge sensors
Dependence of Muscle Moment Arms on In Vivo Three-Dimensional Kinematics of the Knee
Dependence of positive refractivity bias of GPS RO cloudy profiles on cloud fraction along GPS RO limb tracks
Dependence of the Martian radiation environment on atmospheric depth: Modeling and measurement
Dependence of Glomerulonephritis Induction on Novel Intraglomerular Alternatively Activated Bone Marrow-Derived Macrophages and Mac-1 and PD-L1 in Lupus-Prone NZM2328 Mice
Dependence of the Ice Water Content and Snowfall Rate on Temperature, Globally: Comparison of in Situ Observations, Satellite Active Remote Sensing Retrievals, and Global Climate Model Simulations
Dependence of grain boundary character distribution on the initial grain size of 304 austenitic stainless steel
Dependence of power density on anode functional layer thickness in anode-supported solid oxide fuel cells
Dependence assessment in human reliability analysis based on D numbers and AHP
Dependence On Glycolysis Sensitizes BRAF-mutated Melanomas For Increased Response To Targeted BRAF Inhibition
Dependence of fusion on isospin dynamics
Dependence of Sum Frequency Generation (SFG) Spectral Features on the Mesoscale Arrangement of SFG-Active Crystalline Domains Interspersed in SFG-Inactive Matrix: A Case Study with Cellulose in Uniaxially Aligned Control Samples and Alkali-Treated Secondary Cell Walls of Plants
Dependence of tensile ductility on damage evolution of eutectic Si-particles and pre-existing micro-voids in Al-Si casting alloy
Dependence of Pt(II) based phosphorescent emitter orientation on host molecule orientation in doped organic thin films
Dependence of the Enzymatic Velocity on the Substrate Dissociation Rate
Dependence of gold nanoparticle radiosensitization on cell geometry
Dependence of cancer risk from environmental exposures on underlying genetic susceptibility: an illustration with polycyclic aromatic hydrocarbons and breast cancer
Dependence of tensile properties on microstructural features of bimodal-sized ferrite/cementite steels
Dependence of the Nitrogen Dioxide (NO2) Sensitivity of SnOx-Sn/Graphene Gas Sensors on Vacuum Annealing and Ultraviolet (UV) Ozone Exposure
Dependence of Surface Plasmons on Unit Structure Edge Sharp Features
Dependence of measurement accuracy on the birefringence of PANDA fiber Bragg gratings in distributed simultaneous strain and temperature sensing
Dependence of single-shot pulse durations on near-infrared filamentation-guided breakdown in air
Dependence of the Device Performance of Polymer Solar Cells on the Insertion of Metal Nanoparticle Layers at the Electron-collecting Electrodes
Dependence of weak interaction rates on the nuclear composition during stellar core collapse
Dependence of solar cell performance on the nature of alkaline counterion in gel polymer electrolytes containing binary iodides
Dependence of a microresonator Kerr frequency comb on the pump linewidth
Dependence of Carrier Transport of [6,6]-phenyl C61-butyric Acid Methyl Ester/p-Type Si Diodes upon Incorporation of ZnO Nanoparticles
Dependence of the magnetic properties of the dilute magnetic semiconductor Zn1-xMnxO nanorods on their Mn doping levels
Dependence of supertropical eigenspaces
Dependence of electrical transport properties of CaO(CaMnO3)(m) (m=1, 2, 3, infinity) thermoelectric oxides on lattice periodicity
Dependence Dependence of Eu3+ photoluminescence properties on structural transformations in diopside-based glass-ceramics
Dependence of noise temperature on physical temperature for cryogenic low-noise amplifiers
Dependence of solutions of nonsmooth differential-algebraic equations on parameters
Dependence of Shale Permeability on Pressure
Dependence of carbon nanotubes dispersion kinetics on surfactants
Dependence of Solidification for Bi2Te3-xSex Alloys on Their Liquid States
Dependence of Organic Interlayer Diffusion on Glass-Transition Temperature in OLEDs
Dependence of the Microporosity of Activated Carbons on the Lignocellulosic Composition of the Precursors
Dependence centrality similarity: Measuring the diversity of profession levels of interests
Dependence Guided Symbolic Execution
Dependence of scale thickness on the breaking behavior of the initial oxide on plasma spray bond coat surface during vacuum pre-treatment
Dependence of deformation mechanisms on layer spacing in multilayered Ti/Al composite
Dependence of improper ferroelectricity on the preferred orientation of Mn3 spins in CaMn7O12
Dependence of evolutionary cooperation on the additive noise to the enhancement level in the spatial public goods game
Dependence of Plant Uptake and Diffusion of Polycyclic Aromatic Hydrocarbons on the Leaf Surface Morphology and Micro-structures of Cuticular Waxes
Dependable large scale behavioral patterns mining from sensor data using Hadoop platform
Dependency of UVR-induced photoinhibition on atomic ratio of N to P in the dinoflagellate Karenia mikimotoi
Dependence of 25-MHz HF Radar Working Range on Near-Surface Conductivity, Sea State, and Tides
Dependent nonparametric bayesian group dictionary learning for online reconstruction of dynamic MR images
Dependence of Burst Strength on Crack Length of a Pipe with a Dent-Crack Defect
Dependency of Nanodiamond Particle Size and Outermost-Surface Composition on Organo-Modification: Evaluation by Formation of Organized Molecular Films and Nanohybridization with Organic Polymers
Dependence of electron mobility on gate voltage sweeping width and deposition temperature in MOSFETs with HfO2/ Al2O3/ InGaAs gate stacks
Dependence of Pedersen conductance in the E and F regions and their ratio on the solar and geomagnetic activities
Dependence of slope lapse rate over the Greenland ice sheet on background climate
Dependencies between internal structure and mechanical properties of spray dried granules - Experimental study and DEM simulation
Dependence of the irradiation conditions and crystalline phases of TiO2 nanoparticles on their toxicity to Daphnia magna
Dependence of radiation belt simulations to assumed radial diffusion rates tested for two empirical models of radial transport
Dependence of the Internal Structure on Water/Particle Volume Ratio in an Amphiphilic Janus Particle-Water-Oil Ternary System: From Micelle-like Clusters to Emulsions of Spherical Droplets
Dependence of magnetic coupling on ligands at the axial positions of NiII in phenoxido bridged dimers: experimental observations and DFT studies
Dependence of femtosecond writing parameters in waveguide formation process within significantly broad depths range
Dependences of Characteristics of Sensors Based on Tin Dioxide on the Hydrogen Concentration and Humidity of Gas Mixture
Dependency of the Mechanical Properties of Sisal Fiber Reinforced Recycled Polypropylene Composites on Fiber Surface Treatment, Fiber Content and Nanoclay
Dependence of the Electronic Absorption Spectra of Aqueous Solutions of Iodine Monochloride on the Conditions of Dilution and Storage Time
Dependence of the beam-channel interaction force on the radial profiles of a relativistic electron beam and an ion channel in the ion-focusing regime
Dependence of the enthalpy of the direct martensitic transformation in titanium nickelide on the stress
Dependence of the structural optical and thermo-physical properties of gold nano-particles synthesized by laser ablation method on the nature of laser
Dependence of cold filter plugging point on saturated fatty acid profile of biodiesel blends derived from different feedstocks
Dependence of nonlinear elasticity on filler size in composite polymer systems
Dependence of alkali activator coating on aggregates upon mechanical strength of geopolymer/aggregates composites
Dependence of the Specific Energy of Fracture of Ceramic Abrasive Composites in the System Kernel - Binder - Pores on Their Structure Parameters
Dependence of the up-conversion emission of Li+ co-doped Y2O3:Er3+ films with dopant concentration
Dependence of memory characteristics of fullerene-containing polymer on the kind of gate metal
Dependence on benzodiazepines in patients with panic disorder: A cross-sectional study
Dependence of particle concentration effect on pH and redox for arsenic removal by FeS-coated sand under anoxic conditions
Dependence of the Magnetization Response on the Driving Field Amplitude for Magnetic Particle Imaging and Spectroscopy
Dependency of resonance frequencies and effective coupling coefficients of piezoceramic rectangular bars and plates on aspect ratio (L)
Dependence of magnetization on crystal fields and exchange interactions in magnetite
Dependence of the dayside magnetopause reconnection rate on local conditions
Dependence of focal position on the microscale spherical lens imaging
Dependence of Intergranular Corrosion Sensitivity of Al-Li Alloys on Aging Stage
Dependence of the ferroelectric domain shape on the electric field of the microscope tip
Dependence of local order on cooling rate investigated in a colloidal glass
Dependence of enthalpic pairwise self- interactions on ionic strength: Some 2-deoxy and N-acetyl monosaccharides in aqueous NaCl solutions at T=298.15 K
Dependent theories and the generic pair conjecture
Dependence of the Many-Body Interaction Strength in Water Clusters (H2O)(n) on the Water-Water Distance
Dependence of Refractive Index on Concentration and Temperature in Electrolyte Solution, Polar Solution, Nonpolar Solution, and Protein Solution
Dependency of Contact Angle on Water Content and Drying Time in the Moisture Range Below Wilting Point
Dependence between friction of laser interference patterned carbon and the thin film morphology
Dependability modeling of Software Defined Networking
Dependability assessment of critical systems
Dependable Multicore Architectures at Nanoscale: The View From Europe
Dependence of ion concentration in simulated body fluid on apatite precipitation on titania surface
Dependence of the glass shear modulus on the crystal shear modulus and the structural relaxation kinetics for the Zr46Cu46Al8 system
Dependence of Andreev reflection and Schottky barriers on GaMnAs/Nb interface treatment
Dependence Independence Measure for Posterior and Anterior EMG Sensors Used in Simple and Complex Finger Flexion Movements: Evaluation Using SDICA
Dependence between flood peaks and volumes: a case study on climate and hydrological controls
Dependence of implantation sequence on surface blistering characteristics due to H and He ions co-implanted in silicon
Dependence Logic with a Majority Quantifier
Dependence of arterial stiffness on pressure quantified in the realm of the cardiac cycle: towards a patient-specific approach?
Dependency modeling of steady state grain size on the stacking fault energy through severe plastic deformation
Dependence of galaxy clustering on K-band luminosity
Dependence of negative-mode electrospray ionization response factors on mobile phase composition and molecular structure for newly-authenticated neutral acylsucrose metabolites
Dependence of composition of asphaltene-resin-wax deposits on the water cut value
Dependence of Nociceptive Detection Thresholds on Physiological Parameters and Capsaicin-Induced Neuroplasticity: A Computational Study
Dependence of the liquid transverse relaxation time T-2 in porous media on fluid flow velocity
Dependency of the optical properties of heterogeneous calcium bismuthate-bismuth oxide particles on the order of layers alternation
Dependence of Oil Extraction Factor on Thermodynamic Parameters of Solvent
Dependence of the electron capture velocity on the quantum-well depth in semiconductor lasers
Dependence of the condensate chemical potential on droplet size in thermodynamics of heterogeneous nucleation within the gradient DFT
Dependence of landmine radar signature on aspect angle
Dependent Species Sampling Models for Spatial Density Estimation
Dependence of the reflection spectrum of the polymer-stabilized cholesteric liquid crystal on the length of the diacrylate and monoacrylate reactive mesogen molecules
Dependence of the amplitude of magnetosonic waves on the solar wind and AE index using Van Allen Probes
Dependence of the Structure of Ion-Modified NiTi Single Crystal Layers on the Orientation of Irradiated Surface
Dependencies in the timing of activities weaken over the annual cycle in a long-distance migratory bird
Dependence of Electrical Performance on Structural Organization in Polymer Field Effect Transistors
Depending on the electric and magnetic field of the linear optical absorption and rectification coefficient in triple quantum well
Dependence of equilibrium Griffith surface energy on crack speed in phase-field models for fracture coupled to elastodynamics
Dependence of the structure and dynamics of liquid silicon on the choice of density functional approximation
Dependence of the shape of graphene nanobubbles on trapped substance
Dependence of Magnetic Permeability on Residual Stresses in Welded Steels
Dependency Analysis and Improved Parameter Estimation for Dynamic Composite Load Modeling
Dependence of the Electronic and Optical Properties of Methylammonium Lead Triiodide on Ferroelectric Polarization Directions and Domains: A First Principles Computational Study
Dependencies of the Adhesion Forces between TiO2 Nanoparticles on Size and Ambient Humidity
Dependence of Ideality Factor in Lateral PNP Transistors on Surface Carrier Concentration
Dependency of annealing behaviour on grain size in Al-TiC composite produced by accumulative roll bonding
Dependence of laser ablation produced TiO2 nanoparticles on the ablation environment temperature
Dependent Discrete Convolution Based Probabilistic Load Flow for the Active Distribution System
Dependence of Ion Transport on the Electronegativity of the Constituting Atoms in Ionic Crystals
Dependent modal space control: Experimental test rig
Dependency of Anion and Chain Length of Imidazolium Based Ionic Liquid on Micellization of the Block Copolymer F127 in Aqueous Solution: An Experimental Deep Insight
Dependence of SBA-15 formation on the block copolymer concentration in the course of synthesis with precursor containing ethylene glycol residues
Dependence of anomalous Nernst effect on crystal orientation in highly ordered gamma '-Fe4N films with anti-perovskite structure
Dependence of gold nanoparticle radiosensitization on cell geometry (vol 9, pg 5843, 2017)
Dependence of the probability of close collisions of high-energy charged particles in a bent crystal on the orientation of the crystal
Dependant Gaussian processes regression for intelligent sampling of freeform and structured surfaces
Dependence of the brittle ductile transition on strain-rate-dependent critical homologous temperature
Dependency of a therapy-resistant state of cancer cells on a lipid peroxidase pathway
Dependence of Catalytic Dynamics on Structural and Operational Parameters of Enzymatic Electrodes Based on Nano-composite
Dependence of the Peak Fluxes of Solar Energetic Particles on CME 3D Parameters from STEREO and SOHO
Dependence of the black-body force on spacetime geometry and topology
Dependence of eigenvalues of fourth-order differential equations with discontinuous boundary conditions on the problem
Dependent scattering and absorption by densely packed discrete spherical particles: Effects of complex refractive index
Dependence of Calculated Postshock Thermodynamic Variables on Vibrational Equilibrium and Input Uncertainty
Dependence of the configurational entropy on amorphous structures of a hard-sphere fluid
Dependence of dissipation on the initial distribution over states
Dependence of model-simulated response to ozone depletion on stratospheric polar vortex climatology
Dependence of X-Ray Burst Models on Nuclear Masses
Dependence of adhesion property of acrylonitrile-butadiene rubber-based adhesive on zinc oxide concentration
Dependence of drivers affects risks associated with compound events
Dependence of the muon intensity on the atmospheric temperature measured by the GRAPES-3 experiment
Dependence of eigenvalues of 2nth order boundary value transmission problems
Dependence of SAS particle morphologies on the ternary phase equilibria
Dependences of emission intensity of Si light-emitting diodes on dressed-photon-phonon-assisted annealing conditions and driving current
Dependence of Thermal Contact Properties on Compression Pressure
Dependence of cavitation, chemical effect, and mechanical effect thresholds on ultrasonic frequency
Dependence of seismic and radiated energy on shorter wavelength components
Dependence of two-proton radioactivity on nuclear pairing models
Dependency on imported oil and its effects on current account
Dependence of eigenvalues on the boundary conditions of Sturm-Liouville problems with one singular endpoint
Dependency structures for decision tables
Dependence of eigenvalues of 2mth-order spectral problems
Dependence of the Poor on Forest Resources: Evidence from China
Dependence, trust, and 3PL integration: an empirical study in China
Dependency of Crop Production between Global Breadbaskets: A Copula Approach for the Assessment of Global and Regional Risk Pools
Dependency distance: A new perspective on syntactic patterns in natural languages
Dependency distance minimization in understanding of ambiguous structure Comment on "Dependency distance: A new perspective on 'syntactic patterns in natural languages" by Haitao Liu et al.
Dependence of spin pumping and spin transfer torque upon Ni81Fe19 thickness in Ta/Ag/Ni81Fe19/Ag/Co2MnGe/Ag/Ta spin-valve structures
Dependability enhancing mechanisms for integrated clinical environments
Dependence of secondary crater characteristics on downrange distance: High-resolution morphometry and simulations
Dependence of Fracture Toughness on Rolling Direction in Aluminium 7075 Alloys
Dependence of dielectric properties on multilayered structures of MgTa2O6 and MgMoO4/PTFE composites
Dependence of microwave dielectric properties on the complex substitution for Ti-site of MgTiO3 ceramics
Dependence of exponents on text length versus finite-size scaling for word-frequency distributions
Dependence of plasmon coupling on curved interfaces
Dependence of Electrostatic Field Strength on Voltage Ramp Rate for Spacecraft Materials
Dependency distance in language evolution Comment on "Dependency distance: A new perspective on syntactic patterns in natural languages" by Haitao Liu et al.
Dependence of kinetics and pathway of acetaminophen photocatalytic degradation on irradiation photon energy and TiO2 crystalline
Dependence of the electrical conductivity of graphene reinforced epoxy resin on the stress level
Dependence of Exciton Diffusion Length and Diffusion Coefficient on Photophysical Parameters in Bulk Heterojunction Organic Solar Cells
Dependence of Binding Free Energies between RNA Nucleobases and Protein Side Chains on Local Dielectric Properties
Dependences of phase stability and thermoelectric properties of type-I clathrate Ba8Cu4.5Si6Ge35.5 on synthesis process parameters
Dependence of the black-body force on spacetime geometry and topology (vol 117, 60001, 2017)
Dependency Analysis of Accuracy Estimates in k-Fold Cross Validation
Dependencies of surface plasmon coupling effects on the p-GaN thickness of a thin-ptype light-emitting diode
Dependence of toxicity of silver nanoparticles on Pseudomonas putida biofilm structure
Dependence of Bulk Viscosity of Polypropylene on Strain, Strain Rate, and Melt Temperature
Dependence of CO2 Reactivity of Carbon Anodes on Pore Structure
Dependence of Sediment Suspension Viscosity on Solid Concentration: A Simple General Equation
Dependence of magnetisation and magnetocrystalline anisotropy on site distribution of alloying elements in RE-TM phases with ThMn12 structure
Dependence of Total Production Costs on Production and Infrastructure Parameters in the Polish Hard Coal Mining Industry
Dependency distance: A new perspective on the syntactic development in second language acquisition Comment on "Dependency distance: A new perspective on syntactic patterns in natural language" by Haitao Liu et al.
Dependency distances in natural mixed languages Comment on "Dependency distance: A new perspective on syntactic patterns in natural languages" by Haitao Liu et al.
Dependence structure and test of independence for some well-known bivariate distributions
Dependence of the Electrochemical and Passive Behavior of the Lead-Acid Battery Positive Grid on Electrode Surface Roughness
Dependency of bridging traction of DCB composite specimen on interface fiber angle
Dependence of Specific Heat on the Chirality and Diameter of Single-Walled Carbon Nanotubes
Dependability analysis of cyber physical systems
Dependence of the properties of fluorine-containing poly(phenylquinoxaline) on the method of its preparation
Dependence of nitrite oxidation on nitrite and oxygen in low-oxygen seawater
Dependence of LISS spectra on the surface composition and morphology of W/Al coatings
Dependence of gigahertz microwave absorption on the mass fraction of Co@C nanocapsules in composite
Dependence of growth of the phases of multiphase binary systems on the diffusion parameters
Dependence of thermospheric zonal winds on solar flux, geomagnetic activity, and hemisphere as measured by CHAMP
Dependence of Nonlinear Optical Response of Anatase TiO2 on Shape and Excitation Intensity
Dependency of cardiovascular risk on reproductive stages and on age among middle-aged Chinese women
Dependency distance distribution - from the perspective of genre variation Comment on "Dependency distance: a new perspective on syntactic patterns in natural languages" by Haitao Liu et al.
Dependent Erythema of the Legs Associated With Mild Autonomic Nervous System Dysfunction
Dependency of deposition behavior, microstructure and properties of cold sprayed Cu on morphology and porosity of the powder
Dependencies among environmental performance indicators for buildings and their implications
Dependence of dynamic contour and Goldmann applanation tonometries on peripheral corneal thickness
Dependent Partitioning
Dependence of acoustic property on Al substitution for Ca3Ta(Ga1-xAlx)(3)Si2O14 single crystals
Dependency of rain integral parameters on specific rain drop sizes and its seasonal behaviour
Dependence of morphology on anionic flotation of alumina
Dependence of Graph Energy on Nullity: A Case Study
Dependence of thermotropic mesomorphism on varying rigidity of central bridge in liquid crystals
Dependence of mesomorphism on molecular rigidity of chalconyl liquid crystals with two phenyl rings
Dependence of mesomorphism on flexibility of lateral and terminal groups of chalconyl esters
Dependence of grain size and defect density on the magnetic properties of mechanically alloyed Fe90W10 powder (vol 120, 143903, 2016)
Dependence of confined plastic flow of polycrystalline Cu thin films on microstructure
Dependence of microstructure, microhardness, tensile strength and electrical resistivity on growth rates for directionally solidified Zn-Al-Sb eutectic alloy
Dependence of Intestinal Absorption Profile of Insulin on Carrier Morphology Composed of beta-Cyclodextrin-Grafted Chitosan
Dependence of seismic coupling on normal fault style along the Northern Mid-Atlantic Ridge
Dependence of photocatalysis on charge carrier separation in Ag-doped and decorated TiO2 nanocomposites
Dependence of GaN Channel Thickness on the Transistor Characteristics of AlGaN/GaN HEMTs Grown on Sapphire
Dependence of Exchange Stiffness on Metallic Spacer Layer Material and Thickness in Dual-Interface CoFeB/MgO Magnetic Thin Films
Dependence of Photoelectrochemical Properties on Geometry Factors of Interconnected "Caterpillar-like" ZnO Networks
Dependent Interdependence: The Complicated Dance of Government-Nonprofit Relations in China (vol 26, pg 2395, 2015)
Dependence of neutron rate production with accelerator beam profile and energy range in an ADS-TRIGA RC1 reactor
Dependence of characteristics of MSiBCN (M = Ti, Zr, Hf) on the choice of metal element: Experimental and ab-initio study
Dependence of the Sunspot-Group Size on the Level of Solar Activity and its Influence on the Calibration of Solar Observers
Dependence of the Spectrum of Shock-Accelerated Ions on the Dynamics at the Shock Crossing
Dependence and independence of survival parameters on linear energy transfer in cells and tissues
Dependence of Spark Conditioning on Breakdown Charge and Electrode Material under a Non-Uniform Electric Field in Vacuum
Dependency of Tunneling-Magnetoresistance Ratio on Nanoscale Spacer Thickness and Material for Double MgO Based Perpendicular-Magnetic-Tunneling-Junction
Dependency of tunneling magnetoresistance ratio on Pt seed-layer thickness for double MgO perpendicular magnetic tunneling junction spin-valves with a top Co2Fe6B2 free layer ex-situ annealed at 400 degrees C
Dependence of the magnetic properties in CoSiB/Pd multilayers on the number of repetitions
Dependence of cerebral-cortex activation in women on environmental factors
Dependence of Rydberg-Atom Optical Lattices on the Angular Wave Function
Dependence of the energy resolution of a scintillating crystal on the readout integration time
Dependence of Paranodal Junctional Gap Width on Transverse Bands
Dependence of nuclear spin singlet lifetimes on RF spin-locking power
Dependency modelling in complex system design
Dependently Typed Programming with Singletons
Dependency of the corrosion properties of in-situ Ti-based BMG matrix composites with the volume fraction of crystalline phase
Depending on Types
Dependence of dielectric constant of SiOCH low-k films on porosity and pore size
Dependence of model-based extreme flood estimation on the calibration period: case study of the Kamp River (Austria)
Dependence of Climate Response on Meridional Structure of External Thermal Forcing
Dependence of the gyrotron efficiency on the azimuthal index of non-symmetric modes
Dependence of Soot Optical Properties on Particle Morphology: Measurements and Model Comparisons
Dependence of the cosmic microwave background lensing power spectrum on the matter density
Dependency of the Underlying Surface Condition on Dielectric Film Removal at Wafer Edge
Dependence of Sub-Volume Excitation on Structural and Material Parameters in Precessional Regime of Spin Transfer Torque Magnetization Reversal
Dependence of stochastic defect generation on quantum efficiency of acid generation and effective reaction radius for deprotection in chemically amplified resist process using extreme ultraviolet lithography
Dependence of activation parameters for phenylchlorocarbene-alkene additions on alkane solvent chain length
Dependence of hemoglobin mass estimation with the optimized CO-rebreathing method on different spectrophotometers
Dependence of electron transmission on charge deposited in tapered glass macrocapillaries at a tilt angle of 5.0 degrees
Dependence of supercavity closure upon flow unsteadiness
Dependence of ejection force on tableting speed-A compaction simulation study
Dependence of morphometric allometries on the growth kinetics of body parts
Dependence of cocrystal formation and thermodynamic stability on moisture sorption by amorphous polymer
Dependence of mechanical and tribological properties of diamond-like carbon coatings on laser deposition conditions and alloying by metals
Dependency between amplitude and modeshape on vibrating diaphragms
Depersonalization and derealization syndrome: report on a case study and pharmacological management
Dependence of asymmetrical interference on task demands and hand dominance in bimanual isometric force tasks
Dependence of Cleavage Facet Size in Ferrite Steel on Temperature
Dependence of the climatological axial latitudes of the tropospheric westerlies and storm tracks on the latitude of an extratropical oceanic front
Dependence of the swelling behavior of a pH-responsive PEG-modified nanogel on the cross-link density
Dependent Types for JavaScript
Dependence of Regenerated Sensory Axons on Continuous Neurotrophin-3 Delivery
Dependence on cation size of thermally induced capacitive effect of a nanoporous carbon
Dependence of Dissolution Point on Pattern Size of Chemically Amplified Extreme Ultraviolet Resist
Dependence of catalytic properties of indium-implanted SiO2 thin films on the energy and dose of incident indium ions
Dependence of alkyl-substituent length for bulk heterojunction solar cells utilizing 1,4,8,11,15,18,22,25-octaalkylphthalocyanine
Dependence of ion beam current on position of mobile plate tuner in multi-frequencies microwaves electron cyclotron resonance ion source
Dependence of the NaCl/Au(111) interface state on the thickness of the NaCl layer
Dependence of Generation-Recombination Noise With Gate Voltage in FD SOI MOSFETs
Dependence of spin-transfer switching characteristics in magnetic tunnel junctions with synthetic free layers on coupling strength
Dependence of In-Band Incoherent Crosstalk-Induced Penalty on Seed Source in RSOA-Based WDM-PONs
Dependence in games and dependence games
Dependences of mucosal dose on photon beams in head-and-neck intensity-modulated radiation therapy: a Monte Carlo study
Dependence of self-force on central object
Dependence of Volatile Droplet Lifetime on the Hydrophobicity of the Substrate
Dependence Balance Based Outer Bounds for Gaussian Networks With Cooperation and Feedback
Dependency between light intensity and refractive development under light-dark cycles
Dependence of open-circuit potential and power density on electrolyte thickness in solid oxide fuel cells with mixed conducting electrolytes
Dependence of Forest Structure and Dynamics on Substrate Age and Ecosystem Development
Dependence of Berry's phase on the sign of the g factor for conical rotation of a magnetic field, measured without any dynamical phase shift
Dependence of chemical composition and bonding of amorphous SiC on deposition temperature and the choice of substrate
Dependence of Polymer-Stabilized Ferroelectric Liquid Crystals on Photocuring Condition
Dependence of optimized annealing temperature for tetragonal phase formation on the Si concentration of atomic-layer-deposited Hf-silicate film
Dependence of Neel temperature on the particle size of MnFe2O4
Dependence on composition of the optical polarization properties of m-plane InxGa1-xN commensurately grown on ZnO
Dependence of spontaneous polarization on stacking sequence in SiC revealed by local Schottky barrier height variations over a partially formed 8H-SiC layer on a 4H-SiC substrate
Dependence with the pressure of the refractive index of AgGaS2
Dependence of Process Characteristics on Atomic-Step Density in Catalyst-Referred Etching of 4H-SiC(0001) Surface
Dependence of image quality on geometric factors in breast tomosynthesis
Dependence of Si faceted dendrite growth velocity on undercooling
Dependence of the Decomposition of Trimethylaluminumon Oxygen Concentration (vol 158, pg H93, 2010)
Dependence of divertor heat flux widths on heating power, flux expansion, and plasma current in the NSTX
Dependence of daily peak O-3 concentrations near Houston, Texas on environmental factors: Wind speed, temperature, and boundary-layer depth
Dependence of the ocean-atmosphere partitioning of carbon on temperature and alkalinity
Dependent Random Choice
Dependence of Confounding on the Target Population: A Modification of Causal Graphs to Account for Co-Action
Dependence of Surface-Enhanced Infrared Absorption (SEIRA) Enhancement and Spectral Quality on the Choice of Underlying Substrate: A Closer Look at Silver (Ag) Films Prepared by Physical Vapor Deposition (PVD)
Dependence of temporal diffusion spectra on microstructural properties of biological tissues
Dependence of liquid crystal morphology on phospholipid hydrocarbon length
Dependence of phonation threshold pressure and frequency on vocal fold geometry and biomechanics
Dependence of effective hydraulic conductivity on rainfall intensity: loamy agricultural soils
Dependences of Initial Nucleation on Growth Conditions of InAs on Si by Micro-Channel Selective-Area Metal-Organic Vapor Phase Epitaxy
Dependence of sensitivity of biosensor for carbon nanotube field-effect transistor with top-gate structures
Dependence of the stimulated luminescence threshold in ZnO nanocrystals on their geometric shape
Dependence of nanoscale friction and adhesion properties of articular cartilage on contact load
Dependence of Avidity on Linker Length for a Bivalent Ligand-Bivalent Receptor Model System
Dependent vs. independent juvenile survival: contrasting drivers of variation and the buffering effect of parental care
Dependence of the Firearm-Related Homicide Rate on Gun Availability: A Mathematical Analysis
Dependence analysis for safe futures
Dependency Modeling and Model Management in Mechatronic Design
Dependent and independent variables in "standard form" equations
Dependence on accelerating voltage of crystal structural changes in water ice thin film under electron beam irradiation
Dependency pairs for proving termination properties of conditional term rewriting systems
Dependence of Arctic climate on the latitudinal position of stationary waves and to high-latitudes surface warming
Dependence of Morphology, Shear Modulus, and Conductivity on the Composition of Lithiated and Magnesiated Single-lon-Conducting Block Copolymer Electrolytes
Dependence of hardening and saturation stress in persistent slip bands on strain amplitude during cyclic fatigue loading
Dependence of the Thermal Conductivity of BiFeO3 Thin Films on Polarization and Structure
Dependence of Predicted Areal Density on Common Optimization Strategies for Heat-Assisted Magnetic Recording
Dependence of Punch Sticking on Compaction Pressure-Roles of Particle Deformability and Tablet Tensile Strength
Dependence of B-1(+) and B-1(-) Field Patterns of Surface Coils on the Electrical Properties of the Sample and the MR Operating Frequency
Dependent Coverage under the ACA and Medicaid Coverage for Childbirth
Dependent Types and Multi-monadic Effects in F-star
Dependent Mixed and Mixed Repetitive Sampling Plans for Linear Profiles
Dependence of the Linker Histone and Chromatin Condensation on the Nucleosome Environment
Dependence of the amplification performance of unsaturated degenerate phase-sensitive amplification on wavelength allocation
Dependence of the features of diamondlike carbon films on their synthesis methods, as analyzed by Raman spectroscopy
Dependence of Thermoelastic Effect on Volume Change by Elastic Deformation
Dependability of results in conference abstracts of randomized controlled trials in ophthalmology and author financial conflicts of interest as a factor associated with full publication
Dependence of solid oxide fuel cell electrode microstructure parameters on focused ion beam - Scanning electron microscopy resolution
Dependence of GLONASS Pseudorange Inter-frequency Bias on Receiver-Antenna Combination and impact on Precise Point Positioning
Dependence of CPC cut-off diameter on particle morphology and other factors
Dependence of exchange bias on the field-cooled remanent magnetic state in Ni/NiO nanogranular samples
Dependence on different Rab GTPases for the trafficking of CXCR4 and CCR5 homo or heterodimers between the endoplasmic reticulum and plasma membrane in Jurkat cells
Dependence of fiber properties on the properties of the flax plant
Dependence of the anti-CD66 antibody biodistribution on the dissociation constant: A simulation study
Dependence of electrical properties of pentacene Thin-Film Transistor on active layer thickness
Dependency of Cardiac Resynchronization Therapy on Myocardial Viability at the LV Lead Position
Dependence of the order and crack density of polystyrene opals on volume fraction, humidity and temperature
Dependence of Li2FeSiO4 Electrochemistry on Structure
Depersonalization and personality in panic disorder
Dependence of magnetism on GdFeO3 distortion in the t(2g) system ARuO(3) (A = Sr, Ca)
Dependency of the regio- and stereoselectivity of intramolecular, ring-closing glycosylations upon the ring size
Dependence of the optic nerve sheath diameter on acutely applied subarachnoidal pressure - an experimental ultrasound study
Dependence of Cm on the composition of solid binary propellants in ablative laser propulsion
Dependence of nonadiabatic intramolecular dissociative electron transfers on stereochemistry and driving force
Dependent Particle Deposition on a Graph: Concentration Properties of the Height Profile
Dependencies of the Attenuation Coefficient on the Frequency and the Trabecular Thickness in Trabecular-bone-mimicking Phantoms: Application of a Scattering Model
Dependence of off-leakage current on channel film quality in poly-Si thin-film transistors and analysis using device simulation
Depersonalization: a new look at a neglected syndrome
Dependence of the emission properties of the germanium lone pair center on Ge doping of silica
Dependence and the caring family: reflections for a family approach
Dependence of the effective diffusion coefficient of moisture with thickness and temperature in convective drying of sliced materials. A study on slices of banana, cassava and pumpkin
Dependent vector types for data structuring in multirate Faust
Dependence of the fragility of a glass former on the softness of interparticle interactions
Depersonalization in Patients with Schizophrenia Spectrum Disorders, First-Degree Relatives and Normal Controls
Dependence of the Casimir Energy on the Thickness of the Dielectric Layer between Perfectly Conductive Dielectric-Coated Plates
Dependence of energy per molecule on sputtering yields with reactive gas cluster ions
Dependence of the surface roughness of MAPLE-deposited films on the solvent parameters
Dependencies of Acoustic Properties on the Frequency, Porosity, and Grain Size in Water-Saturated Sandy Sediment from 150 to 350 kHz: Application of the Grain-Shearing Model
Dependence of recruitment on parent stock of the spiny lobster, Panulirus argus, in Florida
Dependence of Transverse Domain Wall Dynamics on Permalloy Nanowire Dimensions
Dependence of Interfacial Strength on the Anisotropic Fiber Properties of Jute Reinforced Composites
Dependence of Resonance Energy Transfer on Exciton Dimensionality
Dependence of Signal on Depth in Transmission Raman Spectroscopy
Dependence of Se beam pressure on defect states in CIGS-based solar cells
Dependence of Resonant Voltage on Quantum-Well Width in CaF2/Fe3Si/CaF2 Resonant Tunneling Diodes
Dependence of vacuole disruption and independence of potassium ion efflux in fungicidal activity induced by combination of amphotericin B and allicin against Saccharomyces cerevisiae
Dependence of contact area on the resolution of fractal interfaces in elastic and inelastic problems
Dependence of Frequency Shift of Depolarized Guided Acoustic Wave Brillouin Scattering in Photonic Crystal Fibers
Dependence of random laser emission on silver nanoparticle density in PMMA films containing rhodamine 6G
Dependence of impurity binding energy on nitrogen and indium concentrations for shallow donors in a GaInNAs/GaAs quantum well under intense laser field
Dependence of space charge field and gain coefficient on the applied electric field in photorefractive materials
Dependence of activation energy and pre-exponential factor on audio frequency in glassy Se80-xTe20Snx alloys
Dependence of optimal seed bubble size on pressure amplitude at therapeutic pressure levels
Dependencies of multi-component T-2 and T-1 rho relaxation on the anisotropy of collagen fibrils in bovine nasal cartilage
Dependence of the gradient between arterial and end-tidal P-CO2 on the fraction of inspired oxygen
Dependence of seafloor boundary layer thickness on the overlying flow direction: a large eddy simulation study
Dependence of resistive switching behaviors on oxygen content of the Pt/TiO2-x/Pt matrix
Dependency Parsing Schemata and Mildly Non-Projective Dependency Parsing
Dependence of Milling Time on Electrochemical Properties of Nano Si Electrodes Prepared by Ball-Milling
Dependence of Ag Film Thickness on Ag Nanocrystals Formation to Fabricate Polymer Nonvolatile Memory
Dependency-based n-gram models for general purpose sentence realisation
Dependency, Self-Criticism and Negative Affective Responses Following Imaginary Rejection and Failure Threats: Meaning-Making Processes as Moderators or Mediators
Dependence of gas sensing properties in ZnO nanofibers on size and crystallinity of nanograins
Dependent mixtures of Dirichlet processes
Dependence of Micro-Raman Spectra on Hydrogenation in Nanocrystalline Silicon
Dependency of Tunneling Field-Effect Transistor (TFET) Characteristics on Operation Regions
Dependence of Cu(In,Ga)Se2 Solar Cell Performance on Cd Solution Treatment Conditions
Dependence of the probabilities of the electric-multipole electron transitions in W24+ on multipolarity
Dependability and Rollback Recovery for Composite Web Services
Dependence of Hot Carrier Reliability and Low Frequency Noise on Channel Stress in Nanoscale n-Channel Metal-Oxide-Semiconductor Field-Effect Transistors
Dependence of the Ion-Aerosol Equivalent Attachment Coefficient on the Ratio of Polar Conductivities in a Steady State
Dependence of Si+ and Si2+ sputtering yields on residual oxygen impurity
Dependence of prefactor on the angle between an applied field and the easy axis for single-domain particles
Dependence of the sintering rate and related grain size of yttria-stabilized polycrystalline zirconia (3Y-TZP) on the strength of an applied DC electric field
Dependence structure models for wind speed and wind power among different wind farms based on Copula theory
Dependence on sunbird pollination for fruit set in three West African montane mistletoe species
Dependence of Output Power on Slot Antenna Width in Terahertz Oscillating Resonant Tunneling Diodes
Dependence of LC resonance wavelength on size of silver split-ring resonator fabricated by nanosphere lithography
Dependence of microstructure and hardness of TiAlN/VN hard coatings on the type of substrate rotation
Dependable and secure computing in medical information systems
Dependency in elderly people newly diagnosed with cancer - A mixed-method study
Dependence of the Spin Transfer Torque Switching Current Density on the Exchange Stiffness Constant
Dependence of the hall factor on the shape of the constant energy surfaces in n-type Si/SiGe quantum-well structures
Dependence of polynomial chaos on random types of forces of KdV equations
Dependence of smooth muscle tone upon pulsatility in the iliac artery of the anaesthetised pig
Depersonalised doctors: a cross-sectional study of 564 doctors, 760 consultations and 1876 patient reports in UK general practice
Dependence of drag coefficient on the directional spreading of ocean waves
Dependence of the Au/SnOx/n-LTPS/glass thin film MOS Schottky diode CO gas sensing performances on operating temperature
Dependence of Reynolds Number for Power Consumption Change on Paddle Impeller Position
Dependence of Indian monsoon rainfall on moisture fluxes across the Arabian Sea and the impact of coupled model sea surface temperature biases
Dependence of Electrostatic Field Strength on Image Transfer Roller Dimensions in a Toner Transfer Region
Dependence of magnetic field sensitivity of a magnetoelectric laminate sensor pair on separation distance: Effect of mutual inductance
Dependence of charge transfer phenomena during solid-air two-phase flow on particle disperser
Dependence of Computational Models on Input Dimension: Tractability of Approximation and Optimization Tasks
Dependence of the Reduction Products of Platinum(IV) Prodrugs upon the Configuration of the Substrate, Bulk of the Carrier Ligands, and Nature of the Reducing Agent
Dependence of the yield stress of Fe3Al on heat treatment
Dependence of diffusivity on density and solute diameter in liquid phase: A molecular dynamics study of Lennard-Jones system
Dependability and Functional Safety
Dependence of Error Level on the Number of Probes in Over-the-Air Multiprobe Test Systems
Dependence modelling for spatial extremes
Dependency solving: A separate concern in component evolution management
Dependency semantics for sequences of extended logic programs
Dependence of the Dresselhaus spin-orbit interaction on the quantum well width
Dependence of the seed layer quality on different temperature ramp-up conditions for 3C-SiC hetero-epitaxy on Si (100)
Dependent light scattering in white paint films: clarification and application of the theoretical concepts
Dependence induced increases in intragastric alcohol consumption in mice
Dependence of the water retention curve of snow on snow characteristics
Dependence of the Lifetime upon the Excitation Energy and Intramolecular Energy Transfer Rates: The 5D0 EuIII Emission Case
Dependence of the profile of a hologram recorded on color centers in a CaF2 crystal on the type of hologram-forming centers
Dependence of chromatographic retention indices on a ratio of amounts of target and reference compounds
Dependence of Saccharomyces cerevisiae Golgi functions on V-ATPase activity
Dependence of the Photophysical Properties on the Number of 2,2 %26apos;-Bipyridine Units in a Series of Luminescent Europium and Terbium Cryptates
Dependability analysis of DES based on MARTE and UML state machines models
Dependability Modeling and Analysis of Software Systems Specified with UML
Dependability Modeling and Assessment in UML-Based Software Development
Dependence of Strehl ratio on f-number of optical system
Dependence of developing magnetic hysteresis characteristics on stages of evolving microstructure in polycrystalline yttrium iron garnet
Dependence of Cu, Pb and Zn remobilization on physicochemical properties of marine sediments
Dependence of Oak-Related Volatile Compounds on the Physicochemical Characteristics of Barrel-Aged Wines
Dependency-Free Parallel Progressive Meshes
Dependence of riverine nitrous oxide emissions on dissolved oxygen levels
Dependence of threshold variations on the assessment of histomorphometric indices from computed microtomography using synchrotron radiation
Depersonalization syndrome induced by flumazenil
Dependence of the solid-state photomechanical response of 4-chlorocinnamic acid on crystal shape and size
Dependence of optical properties of vanadium oxide films on crystallization and temperature
Dependability analysis in the Ambient Assisted Living Domain: An exploratory case study
Dependence of DRAM Device Performance on Passivation Annealing Position in Trench and Stack Structures for Manufacturing Optimization
Dependence of crystallization processes of glass-forming melts on melt history: a theoretical approach to a quantitative treatment
Dependent Behavior in Patients with Medication-Overuse Headache
Dependence of solar proton events on their associated activities: Coronal mass ejection parameters
Dependence of the initial adhesion of biofilm forming Pseudomonas putida mt2 on physico-chemical material properties
Dependence of Steel Corrosion Rate on the Machining Emulsion Concentration
Dependence of combustion dynamics in a gasoline engine upon the in-cylinder flow field, determined by high-speed PIV
Dependency of Tortuosity and Permeability of Porous Media on Directional Distribution of Pore Voids
Dependence of Parasitic Modes on Geometry and Attenuation in Gyrotron Beam Tunnels
Dependence-induced ethanol drinking and GABA neurotransmission are altered in Alk deficient mice
Dependency on suppliers as a peril in the acquisition of innovations? The role of buyer attractiveness in mitigating potential negative dependency effects in buyer-supplier relations
Dependence of matrix effect on ionization polarity during LC-ESI-MS analysis of derivatized amino acids in some natural samples
Dependent relative: Effects on family health
Dependence of Solvent Diffusion on Hydrophobic Block Length within Amphiphilic-Hydrophobic Block Copolymer Membranes
Dependency of Vaccine Efficacy on Preexposure and Age: A Closer Look at a Tetravalent Dengue Vaccine
Dependence of topological and optical properties on surface-terminated groups in two-dimensional molybdenum dinitride and tungsten dinitride nanosheets
Dependence of light pulse propagation on its temporal width: Transition from group velocity to c-propagation
Dependence of the spectral diffuse-direct irradiance ratio on aerosol spectral distribution and single scattering albedo
Dependence of Nonadiabatic Couplings with Kohn-Sham Orbitals on the Choice of Density Functional: Pure vs Hybrid
Dependence of Vitamin B-1 Metabolism and the State of Astroglia in the Rat Brain on the Supply with this Vitamin
Dependence of selected stand characteristics on the elevation on the example of the Stolowe Mountains National Park
Dependence of E >= 100 MeV protons on the associated flares and CMEs
Dependence of the SBU length on the size of metal ions in alkaline earth MOFs derived from a flexible C-3-symmetric tricarboxylic acid
Dependence of the ferroelectric properties of modified spin-coating-derived PZT thick films on the crystalline orientation
Dependence of bonding interactions in Layered Double Hydroxides on metal cation chemistry
Dependence of the optimum parameters of femtosecond laser annealing of lead zirconate titanate films on their thickness
Dependability of Two Scaling Approaches to Direct Behavior Rating Multi-Item Scales Assessing Disruptive Classroom Behavior
Dependence Receptors and Cancer: Addiction to Trophic Ligands
Dependence of expression of regulatory master genes of embryonic development in pancreatic cancer cells on the intracellular concentration of the master regulator PDX1
Dependence of the aftershock flow on the main shock magnitude
Dependence of the Nature of the Pedaling Activity on Maximal Aerobic Power in Cycling
Dependence of descriptors of co-localization on microscope spatiotemporal resolution and the choice of regions of interest
Dependency of Cellular Decision Making in Physiology and Influence of Preceding Growth Conditions
Dependence of the structure of alkali metal-trifluoride ion pairs F3-M+ on the counterion M+ (M = Li, Na, K)
Dependence of Chipping Damage on the Crystallographic Orientation During the Mechanical Dicing of Silicon Wafers
Dependency Status and Demand for Social Insurance: Evidence from Experiments and Surveys
Dependence of neoclassical toroidal viscosity on the poloidal spectrum of applied nonaxisymmetric fields
Dependence of the textural properties and surface species of ZnO photocatalytic materials on the type of precipitating agent used in the hydrothermal synthesis
Dependence of Raman Spectral Intensity on Crystal Size in Organic Nano Energetics
Dependence of pedestal structure on collisionality at fixed beta in JT-60U
Dependence of shear wave seismoelectrics on soil textures: a numerical study in the vadose zone
Dependence of reverse bias leakage on depletion width and V-pit size in InGaN/GaN light-emitting diodes grown on silicon
Dependence of crack paths on the orientation of regular 2D peridynamic grids
Dependence of elastic hadron collisions on impact parameter
Dependency Distance Differences across Interpreting Types: Implications for Cognitive Demand
Dependence on epiphytic bacteria for freezing protection in an Antarctic moss, Bryum argenteum
Dependence of light scattering profile in tissue on blood vessel diameter and distribution: a computer simulation study
Dependence of the optical absorption and Na+ binding energies of coumarin-crown ethers on the size and attachment position of ether ring: density functional investigation
Dependence of the LR-115 radon detector calibration factor on track density
Dependency in Cooperative Boolean Games
Dependence of Outage Probability of Cooperative Systems with Single Relay Selection on Channel Correlation
Dependency of production planning on availability of thermal energy in commercial greenhouses - A case study in Germany
Dependence of synchronization frequency of Kuramoto oscillators on symmetry of intrinsic frequency in ring network
Dependence on environmental resources and implications for household welfare: Evidence from the Kalahari drylands, South Africa
Dependences of ultrasonic properties on the propagation angle with respect to the trabecular alignment in trabecular bone
Dependence of Magnetic Anisotropy Energy on c/a Ratio of X2Fe14B (X = Y, Pr, Dy)
Dependences of physical properties on composition in titanate glasses
Dependence of photoinduced bending behavior of diarylethene crystals on irradiation wavelength of ultraviolet light
Dependence on Resist Stripping Efficiency to Irradiating Beam Size in Advanced Laser Resist Stripping Method
Dependency of the combustion behavior of energy grass and three other types of biomass upon lignocellulosic composition
Dependence of pyrolytic temperature on the growth of high quality MnO2 nanofibers
Dependence assessment in human reliability analysis based on evidence credibility decay model and IOWA operator
Dependence of Internal Crystal Structures of InAs Nanowires on Electrical Characteristics of Field Effect Transistors
Dependence of the defect mode on the temperature and the angle of incidence in a one-dimensional photonic crystal
Dependence of the optical properties of Mn4+ activated A(2)Ge(4)O(9) (A=K,Rb) on temperature and chemical environment
Dependence of the base sequence on the [Cu(2,2 '-bipyridine)(2)(NO3)] (NO3)-induced oxidative DNA cleavage probed by linear dichroism
Dependence of O-2 and Ar-2 flow rates on the physical properties of ATO thin films deposited by atmospheric pressure chemical vapor deposition (APCVD)
Dependence of Nitrogen/Argon Reaction Gas Amount on Structural, Mechanical and Optical Properties of Thin WNx Films
Dependency of migration and reduction of mixed Cr(2)o(7)(2-), Cu2+ and Cd2+ on electric field, ion exchange membrane and metal concentration in microbial fuel cells
Dependence of C-Band Backscatter on Ground Temperature, Air Temperature and Snow Depth in Arctic Permafrost Regions
Dependence of rheological behaviors of polymeric composites on the morphological structure of carbonaceous nanoparticles
Dependence of the optical conductivity on the uniaxial and biaxial strains in black phosphorene
Dependence of the infrared emissivity on SiC content and microstructure of microarc oxidation ceramic coatings formed in Na2SiO3 electrolyte
Dependence of optical temperature sensing and photo-thermal conversion on particle size and excitation wavelength in beta-NaYF4:Yb3+, Er3+ nanoparticles
Dependence of Secondary Mineral Formation on Fe(II) Production from Ferrihydrite Reduction by Shewanella oneidensis MR-1
Dependence of the In-Plane Thermal Conductivity of Graphene on Grain Misorientation
Dependence of nonlinear ultrasonic characteristic on second-phase precipitation in heat-treated Al 6061-T6 alloy
Dependence assessment in human reliability analysis using an evidential network approach extended by belief rules and uncertainty measures
Dependence of the forward light scattering on the refractive index of particles
Dependency and accuracy measures for directed graphs
Dependence of the constitution, microstructure and electrochemical behaviour of magnetron sputtered Li-Ni-Mn-Co-O thin film cathodes for lithium-ion batteries on the working gas pressure and annealing conditions
Dependence of Antimicrobial Selectivity and Potency on Oligomer Structure Investigated Using Substrate Supported Lipid Bilayers and Sum Frequency Generation Vibrational Spectroscopy
Dependence of the sliding distance of a one-dimensional atom chain on initial velocity
Dependence of thermal roughness length on friction velocity across land cover types: A synthesis analysis using AmeriFlux data
Dependence of thermal sensitivity of LPFG on waveguide and material parameters
Dependence of Nanoparticle Toxicity on Their Physical and Chemical Properties
Dependence of hot electron transfer on surface coverage and adsorbate species at semiconductor-molecule interfaces
Dependence of the hybrid solar cell efficiency on the thickness of ZnO nanoparticle optical spacer interlayer
Dependences of microstructure on electromagnetic interference shielding properties of nano-layered Ti3AlC2 ceramics
Dependence of Seebeck Coefficient on the Density of States in Organic Semiconductors
Dependable composition of transactional web services using fault-tolerance patterns and service scheduling
Dependence of Acetate-Based Antisolvents for High Humidity Fabrication of CH3NH3PbI3 Perovskite Devices in Ambient Atmosphere
Dependence of CO2 Conversion to CH4 on the CO2 Flow Rate in a Helicon Discharge Plasma
Dependence of future mortality changes on global CO2 concentrations: A review
Dependence of solution molarity on structural, optical and electrical properties of spin coated ZnO thin films
Dependence of photoluminescence of Bi-doped Y2O3 phosphor thin films on oxygen content in the sputtering atmosphere
Dependence of samarium-soil interaction on samarium concentration: Implications for environmental risk assessment
Dependence of RIG-I Nucleic Acid-Binding and ATP Hydrolysis on Activation of Type I Interferon Response
Dependence of the quantum speed limit on system size and control complexity
Dependence of prevalence of contiguous pathways in proteins on structural complexity
Dependency-based long short term memory network for drug-drug interaction extraction
Dependence of transformation product formation on pH during photolytic and photocatalytic degradation of ciprofloxacin
Dependence of {111}-textured Pt electrode properties on TiO2 seed layers formed by thermal oxidation
Dependence of the mechanical characteristics of fast-quenched amorphous Zr-Cu-Al alloys on their composition
Dependence of the Physicochemical and Catalytic Properties of Ce0.5Zr0.5O2 Oxide on the Means of Synthesis
Dependence on collision energy of the stereodynamical properties of the O-18+O-32(2) exchange reaction
Dependence of photovoltaic parameters on the size of cations adsorbed by TiO2 photoanode in dye-sensitized solar cells
Dependence of the photoluminescence properties of Eu2+doped M- Si- N ( M = alkali, alkaline earth or rare earth metal) nitridosilicates on their structure and composition
Dependent Evidence Combination Based on Shearman Coefficient and Pearson Coefficient
Dependency- and similarity-aware caching for HTTP adaptive streaming
Dependence on initial conditions of an adsorption-desorption process
Dependence of glass transition on the structure in Bi-B-Zn oxide glass
Dependence of microstructure on the cooling rate for a modified austenite medium Mn steel
Dependence of microstructure scales of eutectics on Ca-Si modifier amount in austenite steel composites
Dependence of Vickers microhardness on applied load in indium
Dependence of relativistic effects on electronic configuration in the neutral atoms of d- and f-block elements
Dependence of the demagnetization behavior on the direction of grain boundary diffusion in sintered Nd-Fe-B magnets
Dependence of TiO2/Ag/TiO2multilayers thermal stability on Ti transition layer
Dependence of rayleigh constant of MnZn ferrite on temperature, external DC field and dopants
Dependence of the L-Mode scrape-off layer power fall-off length on the upper triangularity in TCV
Dependence of quality factor on surface roughness in crystalline whispering-gallery mode resonators
Dependence of Macro- and Micro-Properties on alpha Plates in Ti-6Al-2Zr-1Mo-1V Alloy with Tri-Modal Microstructure
Dependence of Pressure, Combustion and Frequency Characteristics on Valved Pulsejet Combustor Geometries
Dependence of Crystallographic Orientation on Pitting Corrosion Behavior of Ni-Fe-Cr Alloy 028
Dependence of Generation of Whistler Mode Chorus Emissions on the Temperature Anisotropy and Density of Energetic Electrons in the Earth's Inner Magnetosphere
Dependence Structures and Systemic Risk of Government Securities Markets in Central and Eastern Europe: A CoVaR-Copula Approach
Dependence of growth inhibiting action of increased planting density on capacity of lettuce plants to synthesize ABA
Dependence of phonon transport properties with stacking thickness in layered ZnO
Dependency-to-Dependency Neural Machine Translation
Dependence of the Spring-Autumnal asymmetry in geomagnetic activity on the solar main dipole magnetic field polarity over last 140 years
Dependence of cell adhesion on extracellular matrix materials formed on pore bridge boundaries by nanopore opening and closing geometry
Dependence of the imaging properties of the liquid lens with variable focal length on membrane thickness
Dependency of image quality on acquisition protocol and image processing in chest tomosynthesis-a visual grading study based on clinical data
Dependent risk models with Archimedean copulas: A computational strategy based on common mixtures and applications
Dependence of Photocurrent Enhancements in Quantum Dot (QD)-Sensitized MoS2 Devices on MoS2 Film Properties
Dependence of Type Ia supernova luminosities on their local environment
Dependence on Supplier, Supplier Trust and Green Supplier Integration: The Moderating Role of Contract Management Difficulty
Dependence of physiochemical, functional and textural properties of high-resistant starch rice on endogenous nonstarch polysaccharides
Dependence of the entrainment on the ratio of amplitudes between two subgroups in the suprachiasmatic nucleus
Dependence of the Voids-Fiber Bragg Grating Radiation Response on Temperature, Dose, and Dose Rate
Dependent mixtures of geometric weights priors
Dependence Structure between Oil Prices, Exchange Rates, and Interest Rates
Dependence of the Surface Structure of Polystyrene on Chain Molecular Weight Investigated by Sum Frequency Generation Spectroscopy
Dependent Lindeberg central limit theorem for the fidis of empirical processes of cluster functionals
Dependence of Tropical Cyclone Intensification on the Latitude under Vertical Shear
Dependence of optical phase modulation on anchoring strength of dielectric shield wall surfaces in small liquid crystal pixels
Dependence of the Substituent Effect on Solvent Properties
Dependence of plastic strain and microstructure on elastic modulus reduction in advanced high-strength steels
Dependence of enhanced biological nitrogen removal on carbon to nitrogen and rbCOD to sbCOD ratios during sewage treatment in sequencing batch reactor
Dependence among randomly acquired characteristics on shoeprints and their features
Dependence of Poisson's ratio and Young's modulus on microfibril angle (MFA) in wood
Dependence of hydrogen-absorption and-desorption characteristics on density of lithium zirconium oxides exposed in air at room temperature
Dependence of Catalytic Activity of Nanocrystalline Nickel Ferrite on Its Structural, Morphological, Optical, and Magnetic Properties in Aerobic Oxidation of Benzyl Alcohol
Dependence between Ionic Liquid Structure and Mechanism of Visible-Light-Induced Activity of TiO2 Obtained by Ionic-Liquid Assisted Solvothermal Synthesis
Dependence of tissue inhomogeneity correction factors on photon-beam energy
Dependence of Lunar Tide of the Equatorial Electrojet on the Wintertime Polar Vortex, Solar Flux, and QBO
Dependence on size and curvature of sputtering yield in nanowires
Dependence of structure factor and correlation energy on the width of electron wires
Dependency of Businesses on Flows of Ecosystem Services: A Case Study from the County of Dorset, UK
Dependence of power conversion properties of hole-conductor-free mesoscopic perovskite solar cells on the loading of perovskite crystallites
Dependence of Present and Future European Temperature Extremes on the Location of Atmospheric Blocking
Dependence of UV-Visible Absorption Characteristics on the Migration Distance and the Hyperconjugation Effect of a Methine Chain
Dependence of credit spread and macro-conditions based on an alterable structure model
Dependence of the Drag Over Super Hydrophobic and Liquid Infused Surfaces on the Textured Surface and Weber Number
Dependence of the Formation of Tau and A beta Peptide Mixed Aggregates on the Secondary Structure of the N-Terminal Region of A beta
Dependence on temperature of tensile properties of the single-crystal superalloy DD11
Dependence and Homeostasis of Membrane Impedance on Cell Morphology in Cultured Hippocampal Neurons
Dependence of Chromatosome Structure on Linker Histone Sequence and Posttranslational Modification
Dependence of the friction strengthening of graphene on velocity
Dependence of Cell Failure on Cut-Off Voltage Ranges and Observation of Kinetic Hindrance in LiNi0.8Co0.15Al0.05O2
Dependence of magnetic and microwave loss on evolving microstructure in yttrium iron garnet
Dependence of small-scale energetics on large scales in turbulent flows
Dependence of near-surface similarity scaling on the anisotropy of atmospheric turbulence
Dependencies and autonomy in research performance: examining nanoscience and nanotechnology in emerging countries
Dependence of fatigue limit on step height for stepped 0.45% carbon steel with singular stress field
Dependence analysis on the seismic demands of typical components of a concrete continuous girder bridge with the copula technique
Dependence of halo bias on mass and environment
Dependences of the Tunnel Magnetoresistance and Spin Transfer Torque on the Sizes and Concentration of Nanoparticles in Magnetic Tunnel Junctions
Dependence Properties of Conditional Distributions of some Copula Models
Dependence of electron impact differential cross sections on the ionic charge to radius ratio for the Al3+(2p) and Be2+(1s) ions
Dependence of excitability indices on membrane channel dynamics, myelin impedance, electrode location and stimulus waveforms in myelinated and unmyelinated fibre models
Dependence of the birefringence of polystyrene film on the stretching conditions
Dependence of Strain Distribution on In Content in InGaN/GaN Quantum Wires and Spherical Quantum Dots
Dependence of Dispersion on Metamaterial Structural Parameters and Dispersion Management
Dependency-based Siamese long short-term memory network for learning sentence representations
Dependence of halo bias and kinematics on assembly variables
Dependable Interference-Aware Time-Slotted Channel Hopping for Wireless Sensor Networks
Dependence of Spatial Scale of Quasi-Coherent Mode on Collisionality in Electron Cyclotron Resonant Heating Assisted Low-Confinement Plasmas
Dependence of mechanical performances of polymer/carbon nanotubes nanocomposites on percolation threshold
Dependency of structural change and polishing efficiency of meso-silica/ceria core/shell composite abrasives on calcination temperatures
Dependence of pedestal properties on plasma parameters
Dependence of ferromagnetic properties on phosphorus concentration in Ga1-xMnxAs1-yPy
Dependability enhancing mechanisms for integrated clinical environments (vol 73, pg 4207, 2017)
Dependence of wettability on brine composition in high temperature carbonate rocks
Dependence of precipitation of trace elements on pH in standard water
Dependence of fish on subtropical riverine mangroves as habitat in the Ryukyu Islands, Japan
Dependence of radon exhalation on grain size of sedimentary waste
Dependence of grain orientation in SABI333 solder joints on solidification temperature
Dependence of tropical cyclone development on coriolis parameter: A theoretical model
Dependence of Load Angle on Static Strength of Resistance Spot Welded Lap Joint in Combined Load Test
Dependence of the Dipole Moment of Functionalized Carbon Nanotubes of Chair Type on Their Length
Dependence of Optical Active Galactic Nuclei Identification on Stellar Population Models
Dependences of the geometrical parameters of cell community on stimulation voltage and frequency in chick embryonic cardiomyocytes
Dependence of yield of nuclear track-biosensors on track radius and analyte concentration
Dependent generalized Dirichlet process priors for the analysis of acute lymphoblastic leukemia
Dependence of behavioral performance on material category in an object-grasping task with monkeys
Dependence of dielectric and photovoltaic properties of Pt/PLZT/LNO on the temperature and La doping content
Dependency of plasmon resonance sensitivity of colloidal gold nanoparticles on the identity of surrounding ionic media
Dependence of absence seizure dynamics on physiological parameter evolution
Dependence on temperature of the electrical properties of nanocrystalline Y2Ti2O7 ceramics
Dependence of the prompt fission gamma-ray spectrum on the entrance channel of compound nucleus: Spontaneous vs. neutron-induced fission
Dependence of Sub-Thermionic Swing on Channel Thickness and Drain Bias of Poly-Si Junctionless Thin-Film Transistor
Dependence of sediment sorting on bedload transport phase in a river meander
Dependence space of topology and its application to attribute reduction
Dependence of Ce3+ - related photo- and thermally stimulated luminescence characteristics on Mgt(2+) content in single crystals and epitaxial films of Gd-3(Ga,Al)(5)O-12:Ce,Mg
Dependence of chaotic behavior on optical properties and electrostatic effects in double-beam torsional Casimir actuation
Dependence of light scattering properties on porosity, size and composition of dust aggregates
Dependence structures between Chinese stock markets and the international financial market: Evidence from a wavelet-based quantile regression approach
Dependence of subject-specific parameters for a fast helical CT respiratory motion model on breathing rate: an animal study
Dependence on others for oral hygiene and its association with hand deformities and functional impairment in elders with a history of leprosy
Dependence of T-c on the q-omega structure of the spin-fluctuation spectrum
Dependence of single event upsets sensitivity of low energy proton on test factors in 65 nm SRAM
Dependence of Properties and Exchange Coupling Constants on the Charge in the Mn2On and Fe2On Series
Dependence of Structural, Compositional, Electrical, and Optical Properties of Sputtering-Deposited CdS Thin Films on Laser-Annealing Power
Dependence of the Onset of the Runaway Greenhouse Effect on the Latitudinal Surface Water Distribution of Earth-Like Planets
Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films
Dependence of chaotic actuation dynamics of Casimir oscillators on optical properties and electrostatic effects
Dependence of Reduction Behavior of Ti in Molten CaCl2 on Various Calcium Titanate
Dependence of ultimate bearing capacity and failure behavior of T-shaped deep cement mixing piles on enlarged cap shape and pile strength
Dependence of Residual Axial Force on Thickness and Shape in Corroded High-Strength Bolts
Dependence of Tensional Homeostasis on Cell Type and on Cell-Cell Interactions
Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas
Dependence of tacticity distribution in thermal oxidative degradation of polypropylene
Dependence of the resonance frequency of thermally excited microcantilever resonators on temperature
Dependence of the Metal Electrode and Improved Pulse Switching Speed of La0.7Ca0.3MnO3 as a Resistance Change Memory Device
Dependence of the synthetic strategy on the thermochemical energy storage capability of CuxCo3-xO4 spinels
Dependence of large SEP events with different energies on the associated flares and CMEs
Dependence of conductivity on thickness within the variable-range hopping regime for Coulomb glasses
Dependence of high-order harmonic generation on the laser frequency and inter-nuclear distance from multi-atom molecular ions
Dependence between goaf arching effect and its structure size under high stress
Dependability and Treatment Sensitivity of Multi-Item Direct Behavior Rating Scales for Interpersonal Peer Conflict
Dependency-aware Network Adaptive Scheduling of Data-Intensive Parallel Jobs
Dependent Motion Segmentation in Moving Camera Videos: A Survey
Dependable Data Outsourcing Scheme Based on Cloud-of-Clouds Approach with Fast Recovery
Dependence of synchronization transitions on mean field approach in two-way coupled neural system
Dependent Competing Failure Modeling for the GIL Subject to Partial Discharge and Air Leakage With Random Degradation Initiation Time
Dependence of Interfacial Charge Transfer on Bifunctional Aromatic Molecular Linkers in CdSe Quantum Dot Sensitized TiO2 Photoelectrodes
Dependence of wind stress across an air–sea interface on wave states
Dependable Deep Computation Model for Feature Learning on Big Data in Cyber-Physical Systems
Dependence of power conversion properties of perovskite solar cells on operating temperature
Dependence of power conversion properties of the hole-conductor-free mesoscopic perovskite solar cells on the thickness of carbon film
Dependence of artesunate on long noncoding RNA-RP11 to inhibit epithelial-mesenchymal transition of hepatocellular carcinoma.
Dependency of well-contact density on MCUs in 65-nm bulk CMOS SRAM
Dependence of emitting light for LEDs fabricated by YAG:Ce crystal wafer on wafer thickness
Dependence of c-fos Expression on Amplitude of High-Frequency Spinal Cord Stimulation in a Rodent Model
Dependence of eigenvalues on the diffusion operators with random jumps from the boundary
Deperitoneum biological mesh repair for abdominal wall hernia: a novel wound healing promotion idea
Dependence of aging parameters on precipitation behavior, martensitic transformation and mechanical properties of the aged Ni-Ti alloy under super high pressure
Dependence of electronic structures of multi-walled boron nitride nanotubes on layer numbers
Dependence of carbon nanotube array-silicon interface thermal conductance on array arrangement and filling fraction
Dependence of austenite stability and deformation behavior on tempering time in an ultrahigh strength medium Mn TRIP steel
Dependence of the Photoluminescence of Hydrophilic CuInS2 Colloidal Quantum Dots on Cu-to-In Molar Ratios
Dependence of Simulation Biases at AHI Surface-Sensitive Channels on Land Surface Emissivity over China
Dependence of 3-month Standardized Precipitation-Evapotranspiration Index dryness/wetness sensitivity on climatological precipitation over southwest China
Dependence of Morphology, Dispersion and Hydrodesulfurization Performance of Active Phases in NiMo/SBA-15 on Loading Method
Dependency-Aware Data Locality for MapReduce
Dependence of microstructure characteristics and mechanical properties on nanosize SiCp contents in Mg–9Al matrix composites fabricated by ultrasonic-assisted semisolid powder hot pressing
Dependence of switching process on the perpendicular magnetic anisotropy constant in P-MTJ
Dependable Model-driven Development of CPS
Dependency-aware Dependable Scheduling Workflow Applications with Active Replica Placement in the Cloud
Dependence of Electroluminescence on Barriers Temperature in GaN Base Blue LED on Silicon Substrate
Dependence of a class of non-integer power functions
Dependence of stock and commodity futures markets in China: Implications for portfolio investment
Dependence of growing high-quality gem diamonds on growth rates by temperature gradient method
Dephasing of Electron Spin Qubits due to their Interaction with Nuclei in Quantum Dots
Dephased FLAPS for improved visualization of susceptibility-shifted passive devices for real-time interventional MRI
Dephasing time and magnetoresistance of two-dimensional electron gas in spatially modulated magnetic fields
Dephosphorylation of eIF2 alpha Mediated by the gamma(1)34.5 Protein of Herpes Simplex Virus 1 Facilitates Viral Neuroinvasion
Dephosphorization mechanism of prolonged sludge age SBBR treating saline and high-phosphorus wastewater
Dephasing effects and shot noise in quantum Hall wires: Green's function formalism
Dephosphorization of Iron Ore Bearing High Phosphorous by Carbothermic Reduction Assisted with Microwave and Magnetic Separation
Dephytinisation of wheat bran and the consequences for fibre matrix non-starch polysaccharides
Dephasing of electrons in the Aharonov-Bohm interferometer with a single-molecular vibrational junction
Dephasing of Si singlet-triplet qubits due to charge and spin defects
Dephosphorization of high-level phosphorus iron ore by gas-based reduction and melt separation
Dephasing-assisted parameter estimation in the presence of dynamical decoupling
Dephasing Effect on Backscattering of Helical Surface States in 3D Topological Insulators
Dephosphorylation of CCAAT/enhancer-binding protein beta by protein phosphatase 2A containing B56 delta is required at the early time of adipogenesis
Dephasing in Gapless Carbon Nanotubes and Nanostrips and the Suppression of Interference in a Quantum Interferometer Based on Them
Dephenolization, dearomatization and detoxification of olive mill wastewater with sonication combined with additives and radical scavengers
Dephosphorylation of the RNA Sensors RIG-I and MDA5 by the Phosphatase PP1 Is Essential for Innate Immune Signaling
Dephosphorylation reduces passage of ovalbumin antigen through intestinal epithelial Caco-2 cell monolayers
Dephosphorylation Enables the Recruitment of 53BP1 to Double-Strand DNA Breaks
Dephasing Time in Graphene Due to Interaction with Flexural Phonons
Dephytinisation with Intrinsic Wheat Phytase and Iron Fortification Significantly Increase Iron Absorption from Fonio (Digitaria exilis) Meals in West African Women
Dephosphorylation and biodistribution of 1-C-13-phospholactate in vivo
Dephosphorylation at a Conserved SP Motif Governs cAMP Sensitivity and Nuclear Localization of Class IIa Histone Deacetylases
Dephasing of entangled atoms as an improved test of quantized space time
Dephosphorylation of GluN2B C-terminal tyrosine residues does not contribute to acute ethanol inhibition of recombinant NMDA receptors
Dephosphorization technology of iron ores by Acidthiobacillus ferrooxidans
Dephasing enhanced transport in nonequilibrium strongly correlated quantum systems
Dephosphorylation of Sp1 at Ser-59 by Protein Phosphatase 2A (PP2A) is required for induction of CYP1A1 transcription after treatment with 2,3,7,8-tetrachlorodibenzo-p-dioxin or omeprazole
Dephasing in single-electron generation due to environmental noise probed by Hong-Ou-Mandel interferometry
Dephosphorylation of Orc2 by protein phosphatase 1 promotes the binding of the origin recognition complex to chromatin
Dephosphorylation of Tyrosine 393 in Argonaute 2 by Protein Tyrosine Phosphatase 1B Regulates Gene Silencing in Oncogenic RAS-Induced Senescence
Dephosphorylated-uncarboxylated Matrix Gla protein concentration is predictive of vitamin K status and is correlated with vascular calcification in a cohort of hemodialysis patients
Dephosphorylation of CaMKII at T253 controls the metaphase-anaphase transition
Dephosphorylation of endogenous GABA(B) receptor R2 subunit and AMPK alpha subunits which were measured by in vitro method using transfer membrane
Dephasing of qubits due to an observable stochastic variable
Dephosphorylation of the Core Clock Protein KaiC in the Cyanobacterial KaiABC Circadian Oscillator Proceeds via an ATP Synthase Mechanism
Dephosphorization in converters and oxidation characteristics of molten baths
Dephasing-Induced Control of Interference Nature in Three-Level Electromagnetically Induced Tansparency Systems
Dephosphorylating eukaryotic RNA polymerase II
Dephasing due to Nuclear Spins in Large-Amplitude Electric Dipole Spin Resonance
Dephasing-Induced Stabilization of a Perfectly Conducting Channel in Disordered Graphene Nanoribbons with Zigzag Edges
Dephasing of an electronic two-path interferometer
Dephosphorylation of DBC1 by Protein Phosphatase 4 Is Important for p53-Mediated Cellular Functions
Dephosphorylation during Bleach and Regeneration of Visual Pigment in Carp Rod and Cone Membranes
Dephosphorylated NPr is involved in an envelope stress response of Escherichia coli
Dephasing and dissipation in qubit thermodynamics
Dephasing effects on coherent exciton-polaritons and the breakdown of the strong coupling regime
Dephosphorylation of Iqg1 by Cdc14 regulates cytokinesis in budding yeast
Dephasing-assisted selective incoherent quantum transport
Dephasing of excitons and multiexcitons in undoped and p-doped InAs/GaAs quantum dots-in-a-well
Dephasing and interwell transitions in double quantum well heterostructures
Dephasing effects on stimulated Raman adiabatic passage in tripod configurations
Dephosphorylation/inactivation of tyrosine hydroxylase at the median eminence of the hypothalamus is required for suckling-induced prolactin and adrenocorticotrop hormone responses
Dephasing of qubits by the Schrodinger cat
Dephasing in photoinduced large-angle spin precession of confined ferromagnetic structures
Dephosphorylation-dependent Inhibitory Activity of Juxtanodin on Filamentous Actin Disassembly
Dephosphorylation of F-BAR Protein Cdc15 Modulates Its Conformation and Stimulates Its Scaffolding Activity at the Cell Division Site
Dephosphorylation of gamma H2AX by WIP1 An important homeostatic regulatory event in DNA repair and cell cycle control
Dephosphorylation of gamma H2A by Glc7/Protein Phosphatase 1 Promotes Recovery from Inhibition of DNA Replication
Dephosphorylated cofilin expression is associated with poor prognosis in cases of human breast cancer: a tissue microarray analysis
Dephosphorylation of MAP2D enhances its binding to vimentin in preovulatory ovarian granulosa cells
Dephasing of Single-Photon Orbital Angular Momentum Qudit States in Fiber: Limits to Correction via Dynamical Decoupling
Dephasing mechanisms of optical transitions in rare-earth-doped transparent ceramics
Dephosphorylated parafibromin is a transcriptional coactivator of the Wnt/Hedgehog/Notch pathways
Dephosphorization of Steelmaking Slag by Leaching with Acidic Aqueous Solution
Dephosphorylation of Y685-VE-Cadherin Involved in Pulmonary Microvascular Endothelial Barrier Injury Induced by Angiotensin II
Dephosphorylation of Barrier-to-autointegration Factor by Protein Phosphatase 4 and Its Role in Cell Mitosis
Dephasing-assisted transport in linear triple quantum dots
Dephosphorylation of RNA polymerase I by Fcp1p is required for efficient rRNA synthesis
Dephasing of Triplet-Sideband Optical Emission of a Resonantly Driven InAs/GaAs Quantum Dot inside a Microcavity
Dephasing in the electronic Mach-Zehnder interferometer at filling factor nu=2
Dephosphorylation of HuR Protein during Alphavirus Infection Is Associated with HuR Relocalization to the Cytoplasm
Dephosphorylation of beta-Arrestin 1 in Glioblastomas
Dephasing of Multiparticle Rydberg Excitations for Fast Entanglement Generation
Dephasing in sodium Ramsey interferometry under a weak inhomogeneous magnetic field
Dephosphorization of chromium-rich ashes from thermally-treated leather waste for utilization in pyrometallurgical process
Dephasing time of GaAs electron-spin qubits coupled to a nuclear bath exceeding 200 mu s
Dephosphorylation of TORC initiates expression of the StAR gene
Dephasing in nonlinear quantum scissors systems
Dephasing dynamics of Rydberg atom spin waves
Dephasing effects in molecular junction conduction: An analytical treatment
Dephasing of spin and charge interference in helical Luttinger liquids
Dephosphorylation of the C-terminal Tyrosyl Residue of the DNA Damage-related Histone H2A.X Is Mediated by the Protein Phosphatase Eyes Absent
Dephosphorylation of the nuclear factor of activated T cells (NFAT) transcription factor is regulated by an RNA-protein scaffold complex
Dephosphorylation of Threonine 38 Is Required for Nuclear Translocation and Activation of Human Xenobiotic Receptor CAR (NR1I3)
Dephasing by a Zero-Temperature Detector and the Friedel Sum Rule
Dephosphorylation of specific sites in the kinase-specificity sequence domain leads to ubiquitin-mediated degradation of the tyrosine phosphatase STEP
Dephosphorylation and inactivation of NPR2 guanylyl cyclase in granulosa cells contributes to the LH-induced decrease in cGMP that causes resumption of meiosis in rat oocytes
Dephasing in semiconducting single-walled carbon nanotubes induced by exciton-exciton annihilation
Dephasing due to atom-atom interaction in a waveguide interferometer using a Bose-Einstein condensate
Dephosphorylation of the linker regions of Smad1 and Smad2/3 by small C-terminal domain phosphatases has distinct outcomes for bone morphogenetic protein and transforming growth factor-beta pathways
Dephasing by two-level systems at zero temperature by unitary evolution
Dephosphorylation of Bcl-10 by calcineurin is essential for canonical NF-kappa B activation in Th cells
Dephosphorylation of Carma1 by PP2A negatively regulates T-cell activation
Dephosphorylation by calcineurin regulates translocation of Drp1 to mitochondria
Dephasing of quantum tunnelling in molecular nanomagnets
Dephasing by a continuous-time random walk process
Dephasing processes in a single semiconductor quantum dot
Dephasing of Exciton Polaritons in Photoexcited InGaAs Quantum Dots in GaAs Nanocavities
Dephasing-enabled triplet Andreev conductance
Dephosphorylation of alpha(s)- and beta-Caseins and Its Effect on Chaperone Activity: A Structural and Functional Investigation
Dephasing by electron-electron interactions in a ballistic Mach-Zehnder interferometer
Dephasing and hyperfine interaction in carbon nanotube double quantum dots: The clean limit
Dephasing and hyperfine interaction in carbon nanotubes double quantum dots: Disordered case
Dephosphorylation-Induced Ubiquitination and Degradation of FMRP in Dendrites: A Role in Immediate Early mGluR-Stimulated Translation
Dephasing-induced diffusive transport in the anisotropic Heisenberg model
Dephytinisation of rice bran and manufacturing a new food ingredient
Dephytinization of wheat bran by fermentation with bakers' yeast, incubation with barley malt flour and autoclaving at different pH levels
Dephasing-assisted transport: quantum networks and biomolecules
Dephasing in coherent communication with weak signal states
Dephosphorylation of Akt in C6 cells grown in serum-free conditions corresponds with redistribution of p85/PI3K to the nucleus
Dephosphatation under UV light of water by Ti-PILC with activation by secondary species (La, Se, and Rb)
Dephosphorylation of the Ndc80 Tail Stabilizes Kinetochore-Microtubule Attachments via the Ska Complex
Dephasing rates for weak localization and universal conductance fluctuations in two dimensional Si: P and Ge: P delta-layers
Dephosphorylation of myosin regulatory light chain modulates actin-myosin interaction adverse to meat tenderness
Dephasing and diffusion on the alveolar surface
Dephytinization of wheat and rice brans by hydrothermal autoclaving process and the evaluation of consequences for dietary fiber content, antioxidant activity and phenolics
Dephosphorization of High-Phosphorus Iron Ore Using Different Sources of Aspergillus niger Strains
Dephasing due to quasiparticle tunneling in fluxonium qubits: a phenomenological approach
Dephasing enhanced spin transport in the ergodic phase of a many-body localizable system
DephosSitePred: A High Accuracy Predictor for Protein Dephosphorylation Sites
Dephosphorization of high phosphorus oolitic hematite by acid leaching and the leaching kinetics
Dephosphorylation is the mechanism of fibroblast growth factor inhibition of guanylyl cyclase-B
Dephosphorylation by protein phosphatase 2A regulates visual pigment regeneration and the dark adaptation of mammalian photoreceptors
Dephasing in strongly anisotropic black phosphorus
Dephosphorylation of the Retinoblastoma protein (Rb) inhibits cancer cell EMT via Zeb
Dephosphorylation of cardiac proteins in vitro - a matter of phosphatase specificity
Dephosphorylation of circulating human osteopontin correlates with severe valvular calcification in patients with calcific aortic valve disease
Dephasing in the adiabatic rapid passage in quantum dots: Role of phonon-assisted biexciton generation
Dephosphorylation of Cdc20 is required for its C-box-dependent activation of the APC/C
Dephosphorylation of eIF2 alpha is essential for protein synthesis increase and cell cycle progression after sea urchin fertilization
Dephosphorylation of West Nile virus capsid protein enhances the processes of nucleocapsid assembly
Dephosphorylated NPr of the nitrogen PTS regulates lipid A biosynthesis by direct interaction with LpxD
Dephosphorization Treatment of High Phosphorus Iron Ore by Pre-reduction, Air jet Milling and Screening Methods
Dephosphorization Treatment of High Phosphorus Iron Ore by Pre-reduction, Mechanical Crushing and Screening Methods
Dephasing in an Aharonov-Bohm interferometer containing a lateral double quantum dot induced by coupling with a quantum dot charge sensor
Dephosphorylation of juxtamembrane serines and threonines of the NPR2 guanylyl cyclase is required for rapid resumption of oocyte meiosis in response to luteinizing hormone
Dephenolization and decolorization of olive mill wastewater through sequential batch and co-culture applications
Dephasing of Excitonic Polaritons Confined in GaAs Thin Films
Dephasing in coherently split quasicondensates
Dephasing of G-band phonons in single-wall carbon nanotubes probed via impulsive stimulated Raman scattering
Dephasing in Ge/SiGe quantum wells measured by means of coherent oscillations
Dephasing of a qubit due to quantum and classical noise
Dephosphorylation Reactions of Mono-, Di-, and Triesters of 2,4-Dinitrophenyl Phosphate with Deferoxamine and Benzohydroxamic Acid
Dephytinization of seed coat matter of finger millet (Eleusine coracana) by Lactobacillus pentosus CFR3 to improve zinc bioavailability
Dephosphorylated Ser985 of c-Met is associated with acquired resistance to rechallenge injury in rats that had recovered from uranyl acetate-induced subclinical renal damage
Dephasing and Quantum Beating of Excitons in Methylammonium Lead Iodide Perovskite Nanoplatelets
Dephasing effect in photon-assisted resonant tunneling through quantum dots
Dephasing and ac oscillations in an open Aharanov-Bohm ring
Dephosphorylation enhances postmortem degradation of myofibrillar proteins
Dephasing Catastrophe in 4-epsilon Dimensions: A Possible Instability of the Ergodic (Many-Body-Delocalized) Phase
Dephosphorization by Double-Slag Process in Converter Steelmaking
Dephasing in a Mach-Zehnder Interferometer by an Ohmic Contact
Dephosphorylation of myo-inositol phosphates in the in vitro intestinal Caco-2 cell model
Dephasing mechanisms of diamond-based nuclear-spin memories for quantum networks
Dephosphorylation of the HIV-1 restriction factor SAMHD1 is mediated by PP2A-B55 alpha holoenzymes during mitotic exit
Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon
Dephasing effects on ac-driven triple quantum dot systems
Dephasing with strings attached
Dephosphorization of basic refractories
Dephosphorisation of steel slags by leaching with sulphuric acid
Dephosphorization stability of hot metal by double slag operation in basic oxygen furnace
Dephosphorisation of ferromanganese alloy using rare earth oxide-containing slags
Depigmenting effect of Cinnamomum cassia Presl in B16F10 melanoma cells
Depinning and creep motion in glass states of flux lines
Depinning and creep in Josephson junction arrays in weak magnetic fields
Depinning transition of the quenched Mullins-Herring equation: A short-time dynamic method
Depinning of a discrete elastic string from a two-dimensional random array of weak pinning points
Depinning Dynamics of Fluid Mono layer on a Quenched Substrate
Depinning dynamics of two-dimensional magnetized colloids on a random substrate
Depinning Dynamics of Two-Dimensional Charged Colloids on a Random Laser-Optical Substrate
Depinning of a Discrete Elastic String from a Random Array of Weak Pinning Points with Finite Dimensions
Depinning transition for a screw dislocation in a model solid solution
Depiction of complex airflow near Hong Kong International Airport using a Doppler LIDAR with a two-dimensional wind retrieval technique
Depinning dynamics of two-dimensional magnetized colloids on a substrate with periodic pinning centers
Depinning phase transition in the two-dimensional clock model with quenched randomness
Depinning transition in disorder media: a fractional approach
Depigmentation Effect of Kadsuralignan F on Melan-A Murine Melanocytes and Human Skin Equivalents
Depinning of Two-Dimensional Vortex System with Square Pinning Array at the Second Matching Field
德平秧歌的唱腔类别及特征
Depiction of Transplant Renal Vascular Anatomy and Complications: Unenhanced MR Angiography by Using Spatial Labeling with Multiple Inversion Pulses
Depinning assisted by domain wall deformation in cylindrical NiFe nanowires
Depinning of the Fermi level at the Ge Schottky interface through Se treatment
Depigmented skin and phantom color measurements for realistic prostheses
Depigmented-polymerized mixed grass/birch pollen extract immunotherapy is effective in polysensitized patients
Depigmented-polymerised allergoids favour regulatory over effector T cells: enhancement by 1 alpha, 25-dihydroxyvitamin D3
Depicting vortex stretching and vortex relaxing mechanisms
Depiction of celiac ganglia on positron emission tomography and computed tomography in patients with lung cancer
Depiction of branch vessels arising from intracranial aneurysm sacs: Time-of-flight MR angiography versus CT angiography
Depicting the Medieval Alchemical Cosmos: George Ripley's Wheel of Inferior Astronomy
Depiction of Grapevine Phenology by Gene Expression Information and a Test of its Workability in Guiding Fertilization
Depinning and dynamics of imbibition fronts in paper under increasing ambient humidity
Depiction of the parotid duct on axial CT images
Depinning of stiff directed lines in random media
Depigmentation caused by application of the active brightening material, rhododendrol, is related to tyrosinase activity at a certain threshold
Depicting Depersonalization Disorder
Depinning probability of a magnetic domain wall in nanowires by spin-polarized currents
Depigmentation of the skin induced by 4-(4-hydroxyphenyl)-2-butanol is spontaneously re-pigmented in brown and black guinea pigs
Depicting as a Method of Communication
Depicting network structures from variable data produced by unknown colored-noise driven dynamics
Depigmentation Within the Radiation Field in Patients With Vitiligo
Depicting the interplay between organisational tiers in the use of a national quality registry to develop quality of care in Sweden
Depinning transition of bubble phases in a high Landau level
Depicting Changes in Multiple Symptoms Over Time
Depicting Barbacenia flava and Vellozia intermedia with a short history of illustrated Velloziaceae
Depicting visual motion in still images: Forward leaning and a left to right bias for lateral movement
Depigmentation therapy in vitiligo universalis with cryotherapy and 4-hydroxyanisole
Depigmented and Polymerised House Dust Mite Allergoid: Allergen Content, Induction of IgG4 and Clinical Response
Depinning and dynamics of ac driven vortex lattices in random media
Depiction of Portal Supply in Early Hepatocellular Carcinoma and Dysplastic Nodule: Value of Pure Arterial Ultrasound Imaging in Hepatocellular Carcinoma
Depiction of drought over sub-Saharan Africa using reanalyses precipitation data sets
Depiction of interfacial characteristic changes during impact welding using computational methods: Comparison between Arbitrary Lagrangian - Eulerian and Eulerian simulations
Depicting electronic distributions from accurate computational first principles: On the relationship between the complex patterns of bonding interaction and the back-donation phenomenon
Depinning of the ferroelectric domain wall in congruent LiNbO3
Depinning as a coagulation process
Depinning of Domain Walls by Magnetic Fields and Current Pulses in Tapered Nanowires With Anti-Notches
Depicting how Eucalyptus globulus survives drought: involvement of redox and DNA methylation events
Depinning field of domain walls with a misaligned grain boundary in iron-based soft magnets
Depinning-Induced Capillary Wave during the Sliding of a Droplet on a Textured Surface
Depiction of the discrepancy between fatty-acid metabolism and myocardial perfusion in takotsubo cardiomyopathy using dedicated cardiac semiconductor gamma camera
Depilatory laser: a potential causative factor for inguinal hyperhidrosis: Report of three cases
Depicting Adoptive Immunotherapy for Prostate Cancer in an Animal Model with Magnetic Resonance Imaging
Depinning and creeplike motion of wetting fronts in weakly vibrated granular media
Depigmenting action of platycodin D depends on the cAMP/Rho-dependent signalling pathway
Depinning Field at Notches of Ferromagnetic Nanowires With Perpendicular Magnetic Anisotropy
Depigmenting Effect of Kojic Acid Esters in Hyperpigmented B16F1 Melanoma Cells
Depigmenting and anti erythematic effects of 3% green tea emulsion
Depigmenting effect of Sterculia lynchnophera on B16F10 melanoma and C57BL/6 melan-a cells
Depicting a Female Fraud: Sarah Howe and the Boston Women's Bank
Depigmented Macules Following Autologous Bone-Marrow Transplant
Depicting the role of TP53 in hepatocellular carcinoma progression
Depictions of alcohol use in a UK Government partnered online social marketing campaign: Hollyoaks 'The Morning after the night before'
Depigmentation of Melanocytes by (2Z,8Z)-Matricaria Acid Methyl Ester Isolated from Erigeron breviscapus
Depicting the semicircular canals with inner-ear MRI: A comparison of the SPACE and TrueFISP sequences
Depicting Procedural Caustics in Single Images
Depinning of Drops on Inclined Smooth and Topographic Surfaces: Experimental and Lattice Boltzmann Model Study
Depiction of the forces participating in the 2-O-sulfo-alpha-L-iduronic acid conformational preference in heparin sequences in aqueous solutions
Depinning of Transverse Domain Walls from Notches in Magnetostatically Coupled Nanostrips
Depinning-controlled plastic deformation during nanoindentation of BCC iron thin films and nanoparticles
Depigmentation of Skin and Hair Color in the Somatic Cell Cloned Pig
Depixelizing Pixel Art
Depinning of domain walls with an internal degree of freedom
Depicting more accurate pictures of protistan community complexity using pyrosequencing of hypervariable SSU rRNA gene regions
Depiction of Variants of the Portal Confluence Venous System Using Multidetector Row CT: Analysis of 916 Cases
Depicting the Inner and Outer Nose: The Representation of the Nose and the Nasal Mucosa on the Human Primary Somatosensory Cortex (SI)
Depinning Transition in the Failure of Inhomogeneous Brittle Materials
Depinning field of a periodic domain wall array in vicinal nanowires
Depiction of the neuroscientific principles of human motion 2 millennia ago by Lucretius
Depigmentation in melanomas increases the efficacy of hypericin-mediated photodynamic-induced cell death
Depicted serving size: cereal packaging pictures exaggerate serving sizes and promote overserving
Depictions of sustainability in children's books
Depicted serving size: cereal packaging pictures exaggerate serving sizes and promote overserving (vol 17, 169, 2017)
Depicting urban boundaries from a mobility network of spatial interactions: a case study of Great Britain with geo-located Twitter data
Depinning process of magnetic domain walls in cylindrical nanowires with a chemical constraint
Depigmentation Therapies for Vitiligo
Depicting additive manufacturing from a global perspective; using Cloud manufacturing paradigm for integration and collaboration
Depiction of interfacial morphology in impact welded Ti/Cu bimetallic systems using smoothed particle hydrodynamics
Depigmenting Effect of Resveratrol Is Dependent on FOXO3a Activation without SIRT1 Activation
Depigmentation of alpha-melanocyte-stimulating hormone-treated melanoma cells by beta-mangostin is mediated by selective autophagy
Depicting the Discrepancy between Tri Genotype and Chemotype on the Basis of Strain CBS 139514 from a Field Population of F. graminearum Sensu Stricto from Argentina
Depigmentation during vitiligo activity spares epithelial grafted areas
Depiction of Achilles Tendon Microstructure In Vivo Using High-Resolution 3-Dimensional Ultrashort Echo-Time Magnetic Resonance Imaging at 7 T
Depictions of Tobacco Use in 2007 Broadcast Television Programming Popular Among US Youth
Depinning, front motion, and phase slips
Depicting Stylized Materials with Vector Shade Trees
Depiction of Seizure First Aid Management in Medical Television Dramas
Depigmentation therapies for normal skin in vitiligo universalis
Depicting Educational Content Repurposing Context and Inheritance
Depicting the Spatial Distribution of Proteins in Human Tumor Tissue Combining SELDI and MALDI Imaging and Immunohistochemistry
Depicting estimates using the intercept in meta-regression models: The moving constant technique
Depigmenting mechanism of NSAIDs on B16F1 melanoma cells
Depixelation of coherent fiber bundle endoscopy based on learning patterns of image prior
Depigmentation Therapy for Vitiligo in Patients With Fitzpatrick Skin Type VI
Depinning and Collective Dynamics of Magnetically Driven Colloidal Monolayers
Depicting community perspectives: repeat photography and participatory research as tools for assessing environmental services in Sagarmatha National Park, Nepal
Depicting new pharmacological strategies for familial hypercholesterolaemia involving lipoprotein (a)
Depinning and nonequilibrium dynamic phases of particle assemblies driven over random and ordered substrates: a review
Depiction and characterization of liver lesions in whole body [F-18]-FDG PET/MRI
Depinning of the transverse domain wall trapped at magnetic impurities patterned in planar nanowires: Control of the wall motion using low-intensity and short-duration current pulses
Depigmentation efficacy of galacturonic acid through tyrosinase regulation in B16 murine melanoma cells and a three-dimensional human skin equivalent
Depiction of pneumothoraces in a large animal model using x-ray dark-field radiography
Depiction of lower limb venous anatomy in patients undergoing interventional deep venous reconstruction-the role of balanced steady state free precession MRI
Depiction of the perfusion components’ volume fraction distribution in generalized intravoxel incoherent motion by using Gaussian mixture model
Depicting the composition of gut microbiota in a population with varied ethnic origins but shared geography
Depinning behaviors of magnetic domain wall in ferromagnetic nanowires under magnetic thermal noise
Depletion of CD147 sensitizes human malignant melanoma cells to hydrogen peroxide-induced oxidative stress
Depletion potentials in colloidal mixtures of hard spheres and rods
Depletion potential near curved surfaces
Depletion interactions in binary mixtures of repulsive colloids
Depletion force and torque on an ellipsoid
Depletion interactions between two spherocylinders
Deployment dynamic analysis of deployable antennas considering thermal effect
Depletion of hCINAP by RNA interference causes defects in Cajal body formation, histone transcription, and cell viability
Depletion of natural CD4(+)CD25(+) T regulatory cells with anti-CD25 antibody does not change the course of Pseudomonas aeruginosa-induced acute lung infection in mice
Depletion of tissue factor suppresses hepatic metastasis and tumor growth in colorectal cancer via the downregulation of MMPs and the induction of autophagy and apoptosis
Depletion of Bhmt Elevates sonic hedgehog Transcript Level and Increases beta-Cell Number in Zebrafish
Deployment Optimization of Mobile Sensor Networks Based on Fuzzy Control
Depletion of GTP pool is not the predominant mechanism by which ribavirin exerts its antiviral effect on Lassa virus
Depletion of Ku70/80 reduces the levels of extrachromosomal telomeric circles and inhibits proliferation of ALT cells
Depletion of Plasma Gelsolin in Patients with Tick-Borne Encephalitis and Lyme Neuroborreliosis
Depleted Leukocyte Mitochondrial DNA Copy Number in Metabolic Syndrome
Deployment of Self-expanding Metallic Stents Under Fluoroscopic Guidance in Patients with Malignant Esophagorespiratory Fistula
Depletion of activated hepatic stellate cell correlates with severe liver damage and abnormal liver regeneration in acetaminophen-induced liver injury
Depletion of CD4+CD25+Foxp3+regulatory T cells with anti-CD25 antibody may exacerbate the 1,3-beta-glucan-induced lung inflammatory response in mice
Deploying effective service strategy in the operations stage of high-speed rail
Depletion of Pokemon Gene Inhibits Hepatocellular Carcinoma Cell Growth through Inhibition of H-ras
Deployment analysis and remote accessibility verification for a maintenance task in a PRIDE digital mock-up
Depletion of insulin receptor substrate 2 reverses oncogenic transformation induced by v-src
Deploying whole genome sequencing in clinical practice and public health: Meeting the challenge one bin at a time
Deployment Strategy of Wireless Sensor Networks for Internet of Things
Depletion of Nrf2 Enhances Inflammation Induced by Oxyhemoglobin in Cultured Mice Astrocytes
Depletion of the novel protein PHACTR-1 from human endothelial cells abolishes tube formation and induces cell death receptor apoptosis
Depletion induced vesicle-to-micelle transition from self-assembled rod-coil diblock copolymers with spherical magnetic nanoparticles
Deploying an Intelligent Transportation System in Chongming County, Shanghai
Deployment of a team of biomimetic searching agents based on limited communication quantity
Deploying personalized mobile services in an agent-based environment
Depletion of c-Myc inhibits human colon cancer Colo 320 cells' growth
Depletion of intracellular zinc down-regulates expression of Uch-L1 mRNA and protein, and CREB mRNA in cultured hippocampal neurons
Deployable membrane structure based on the Bennett linkage
Depletion of zebrafish essential and regulatory myosin light chains reduces cardiac function through distinct mechanisms
Depleting intratumoral CD4(+)CD25(+) regulatory T cells via FasL protein transfer enhances the therapeutic efficacy of adoptive T cell transfer
Depletion of mitochondrial DNA in leukocytes of patients with poly-Q diseases
Depletion phenomenon in diblock copolymer films: a dissipative particle dynamics simulation
Depleted-heterojunction colloidal quantum dot photovoltaics employing low-cost electrical contacts
Depletion of the Neural Precursor Cell Pool by Glucocorticoids
Depletion of Tumor-Associated Macrophages Enhances the Effect of Sorafenib in Metastatic Liver Cancer Models by Antimetastatic and Antiangiogenic Effects
Depletion of potential A2M risk haplotype for Alzheimer's disease in long-lived individuals
Depletion of tubulin polymerization promoting protein family member 3 suppresses HeLa cell proliferation
Depletion of DNMT3A Suppressed Cell Proliferation and Restored PTEN in Hepatocellular Carcinoma Cell
Depletion of CD4+CD25+regulatory T cells enhances natural killer T cell-mediated anti-tumour immunity in a murine mammary breast cancer model
Deployment of Contextual Corporate Telco Services Based on Protocol Adaptation in the NGN Environment
Depletion of CD4(+)CD25(high) regulatory T cells from tumor infiltrating lymphocytes predominantly induces Th1 type immune response in vivo which inhibits tumor growth in adoptive immunotherapy
Depletion interaction of two spheres-Full density functional theory vs. morphometric results
Deployment and retrieval of tethered satellite system under J(2) perturbation and heating effect
Depletion interactions in a cylindric pipeline
Depletion-mode In0.2Ga0.8As/GaAs MOSFET with molecular beam epitaxy grown Al2O3/Ga2O3(Gd2O3) as gate dielectrics
Depletion of high molecular weight dextran from the red cell surface measured by particle electrophoresis
Depletion of chlortetracycline during composting of aged and spiked manures
Depletion of PI3K p85 alpha induces cell cycle arrest and apoptosis in colorectal cancer cells
Depletion of Regulatory T Cells Facilitates Growth of Established Tumors: A Mechanism Involving the Regulation of Myeloid-Derived Suppressor Cells by Lipoxin A(4)
Deployment/Retrieval Modeling of Cable-Driven Parallel Robot
Deployment Design of Wireless Sensor Network for Simple Multi-Point Surveillance of a Moving Target
Deploying Mouse Models of Pancreatic Cancer for Chemoprevention Studies
Depletion of Vitamin E Increases Amyloid beta Accumulation by Decreasing Its Clearances from Brain and Blood in a Mouse Model of Alzheimer Disease
Depletion of the Shwachman-Diamond syndrome gene product, SBDS, leads to growth inhibition and increased expression of OPG and VEGF-A
Depletion- and ambipolar-mode field-effect transistors based on the organic heterojunction composed of pentacene and hexadecafluorophtholocyaninatocopper
Depletion Effect on Polymers Induced by Small Depleting Spheres
Depletion of the proteasome subunit PSMA7 inhibits colorectal cancer cell tumorigenicity and migration
Depletion of the yeast nuclear exosome subunit Rrp6 results in accumulation of polyadenylated RNAs in a discrete domain within the nucleolus
Depletion of mammalian CCR4b deadenylase triggers elevation of the p27(Kip1) mRNA level and impairs cell growth
Depletion interactions in charged colloidal system
Deployable multipath communication scheme with sufficient performance data distribution method
Depletion interactions in a cylindric pipeline with a small shape change
Depletion interactions in cylinder
Depletion of the MobB and CotA complex in Aspergillus nidulans causes defects in polarity maintenance that can be suppressed by the environment stress
Depletion-mode GaAs-based MOSFET with Ga2O3(Gd2O3) as a gate dielectric
Depletion of capsaicin sensitive afferents prevents lamina-dependent increases in spinal N-methyl-D-aspartate receptor subunit 1 expression and phosphorylation associated with thermal hyperalgesia in neuropathic rats
Depletion of zebrafish titin reduces cardiac contractility by disrupting the assembly of Z-discs and A-bands
Depletion of Invariant NKT Cells Reduces Inflammation-Induced Preterm Delivery in Mice
Depletion of intracellular zinc induced apoptosis in cultured hippocampal neurons through Raf/MEK/ERK pathways
Depletion of bitter taste transduction leads to massive spermatid loss in transgenic mice
Deploying the Chinese knowledge diaspora: a case study of Peking University
Deployment analysis and control of deployable space antenna
Deployment of a 3D tag tracking method utilising RFID
Depletion and harvesting thermal energy from actuator arm electronics in hard disk drives
Deploying Relay Nodes in WSNs by Considering Uneven Energy Consumption
Deploying mobile nodes for maximal energy matching in WSNs
Depletion of GRIM-19 accelerates hepatocellular carcinoma invasion via inducing EMT and loss of contact inhibition
Depleting Methyl Bromide Residues in Soil by Reaction with Bases
Depletion of Bmi-1 enhances 5-fluorouracil-induced apoptosis and autophagy in hepatocellular carcinoma cells
Deployment simulation of cable-strut structures considering cable sliding
Depletion of histone deacetylase 3 antagonizes PI3K-mediated overgrowth of Drosophila organs through the acetylation of histone H4 at lysine 16
Depletion of ascorbic acid impairs NK cell activity against ovarian cancer in a mouse model
Depletion of IL-22 during culture enhanced antigen-driven IFN-gamma production by CD4(+)T cells from patients with active TB
Depletion and Voids Formation in the Substrate During High Temperature Oxidation of Ni-Cr Alloys
Depletion of stromal cells expressing fibroblast activation protein-alpha from skeletal muscle and bone marrow results in cachexia and anemia
Depletion of histone demethylase KDM2A inhibited cell proliferation of stem cells from apical papilla by de-repression of p15(INK4B) and p27(Kip1)
Depletion of fossil fuels and anthropogenic climate change-A review
Depletion and dysfunction of V gamma 2V delta 2 T cells in HIV disease: mechanisms, impacts and therapeutic implications
Depletion analysis on long-term operation of the conceptual Molten Salt Actinide Recycler & Transmuter (MOSART) by using a special sequence based on SCALE6/TRITON
Depletion of-arrestin2 in hepatic stellate cells reduces cell proliferation via ERK pathway
Depletion of telomerase RNA inhibits growth of gastrointestinal tumors transplanted in mice
Depletion-induced size fractionation of nanorod dispersions
Depletion analysis of control absorber in a small research reactor
Depleting NFAT1 expression inhibits the ability of invasion and migration of human lung cancer cells
Depleted sublithospheric mantle beneath the Bohemian Massif and Pannonian Basin: New data on the composition and helium isotopes of the mantle xenoliths and enclosed basalts and the problem of existence of mantle plumes in Central Europe
Deployment guidelines for achieving maximum lifetime and avoiding energy holes in sensor network
Deployment-Related Stress Disorder in German Soldiers Utilization of Psychiatric and Psychotherapeutic Treatment
Depletion of albumin and immunoglobulin G from human serum using epitope-imprinted polymers as artificial antibodies
Depletion analysis of the HELIOS experiment using the MCB code
Depletion of Water Molecules Near the End Stage of Steric Zipper Formation
Deployment and Coverage of Cognitive Radio Networks in TV White Space
Deployment optimization for point air defense based on Memetic algorithm
Deployable umbrella-shaped keel concentrator used in solar thermal propulsion system
Depletion of Serotonin and Selective Inhibition of 2B Receptor Suppressed Tumor Angiogenesis by Inhibiting Endothelial Nitric Oxide Synthase and Extracellular Signal-Regulated Kinase 1/2 Phosphorylation
Depletion of cytosolic or mitochondrial thioredoxin increases CYP2E1-induced oxidative stress via an ASK-1-JNK1 pathway in HepG2 cells
Deployment of DGT units in marine waters to assess the environmental risk from a deep sea tailings outfall
Deployment analysis of the Brazilian Breastfeeding Network: challenges and prospects for promoting breastfeeding in primary care
Depletion of Jak2V617F myeloproliferative neoplasm-propagating stem cells by interferon-alpha in a murine model of polycythemia vera
Depletion of CD4(+) CD25(+) Regulatory T Cells Promotes CCL21-Mediated Antitumor Immunity
Depletion of histone demethylase KDM2A enhanced the adipogenic and chondrogenic differentiation potentials of stem cells from apical papilla
Depletion of 4E-BP1 and regulation of autophagy lead to YXM110-induced anticancer effects
Depletion kinetics of perfluoropolyether films with functional end groups using molecular dynamics simulation
Depletion of intracellular zinc induces apoptosis of cultured hippocampal neurons through suppression of ERK signaling pathway and activation of caspase-3
Depletion of the p43 Mitochondrial T3 Receptor Increases Sertoli Cell Proliferation in Mice
Depletion of hepatoma-derived growth factor-related protein-3 induces apoptotic sensitization of radioresistant A549 cells via reactive oxygen species-dependent p53 activation
Depletion of end-binding protein 1 (EB1) promotes apoptosis of human non-small-cell lung cancer cells via reactive oxygen species and Bax-mediated mitochondrial dysfunction
Depletion of high-abundance flavonoids by metal complexation and identification of low-abundance flavonoids in Scutellaria baicalensis Georgi
Depleting ABCE1 expression induces apoptosis and inhibits the ability of proliferation and migration of human esophageal carcinoma cells
Depletion of PKM2 leads to impaired glycolysis and cell death in 2-demethoxy-2,3-ethylenediamino hypocrellin B-photoinduced A549 cells
Deploying process modeling and attitude control of a satellite with a large deployable antenna
Depletion NO (x) Made Easy by Nitrogen Doped Graphene
Depletion of White Adipose Tissue in Cancer Cachexia Syndrome Is Associated with Inflammatory Signaling and Disrupted Circadian Regulation
Depletion of Perineuronal Nets in the Amygdala to Enhance the Erasure of Drug Memories
Depletion of Host CCR7(+) Dendritic Cells Prevented Donor T Cell Tissue Tropism in Anti-CD3-Conditioned Recipients
Depletion and biodegradation of hydrocarbons in dispersions and emulsions of the Macondo 252 oil generated in an oil-on-seawater mesocosm flume basin
Deployment strategy of WSN based on minimizing cost per unit area
Depletion of RhoGDI2 expression inhibits the ability of invasion and migration in pancreatic carcinoma
Depletion of CD4(+) CD25(+) Regulatory T Cells Promotes CCL21-Mediated Antitumor Immunity (vol 8, e73952, 2013)
Depletion of canonical Wnt signaling components has a neuroprotective effect on midbrain dopaminergic neurons in an MPTP-induced mouse model of Parkinson's disease
Deployment of an in-house designed training process in a quaternary care hospital
Depletion width engineering via surface modification for high performance semiconducting piezoelectric nanogenerators
Depletion of florfenicol and florfenicol amine residues in chicken eggs
Deployment of aligned ZnO nanorod with distinctive porous morphology: Potential scaffold for the detection of p-nitrophenylamine
Deployment of a dynamic penetrometer from manned submersibles for fine-scale geomorphology studies
Depletion of ERK2 but not ERK1 abrogates oncogenic Ras-induced senescence
Depletion of Aurora A leads to upregulation of FoxO1 to induce cell cycle arrest in hepatocellular carcinoma cells
Deployment of Military Mothers: Supportive and Nonsupportive Military Programs, Processes, and Policies
Deployment-Related Traumatic Brain Injury Among Operation Enduring Freedom/Operation Iraqi Freedom Veterans: Associations with Mental and Physical Health by Gender
Deploying Roadside Units in Sparse Vehicular Networks: What Really Works and What Does Not
Deployment of Fiber-to-the-Home in the Slovenian Telecommunications Market
Depletion Effect of Oxide Semiconductor Analyzed by Hall Effects
Deployment Dynamics of a Rolled Micro Air Vehicle Wing
Depletion of PtdIns(4,5)P-2 underlies retinal degeneration in Drosophila trp mutants
Depletion of extracellular signal-regulated kinase 1 in mice with cardiomyopathy caused by lamin A/C gene mutation partially prevents pathology before isoenzyme activation
Depletion of systemic concentrations of coagulation factors in blood from patients with atherosclerotic vascular disease
Deployment of Spatial Attention towards Locations in Memory Representations. An EEG Study
Depletion of lamina-associated polypeptide 1 from cardiomyocytes causes cardiac dysfunction in mice
Depletion of the transcriptional coactivators megakaryoblastic leukaemia 1 and 2 abolishes hepatocellular carcinoma xenograft growth by inducing oncogene-induced senescence
Depletion of IK causes mitotic arrest through aberrant regulation of mitotic kinases and phosphatases
Deployment of community health workers across rural sub-Saharan Africa: financial considerations and operational assumptions
Depletion of Suds3 reveals an essential role in early lineage specification
Depletion of chondrocyte primary cilia reduces the compressive modulus of articular cartilage
Deploying Arima and Artificial Neural Networks Models to Predict Energy Consumption in Taiwan
Depletion of circulating blood NOS3 increases severity of myocardial infarction and left ventricular dysfunction
Deployment of a Connected Reinforced Backbone Network with a Limited Number of Backbone Nodes
Depletion of the 26S Proteasome Adaptor Ecm29 Increases Toll-Like Receptor 3 Signaling
Depletion of the Ubiquitin-binding Adaptor Molecule SQSTM1/p62 from Macrophages Harboring cftr Delta F508 Mutation Improves the Delivery of Burkholderia cenocepacia to the Autophagic Machinery
Depletion of casein kinase I leads to a NAD(P)(+) /NAD(P)H balance-dependent metabolic adaptation as determined by NMR spectroscopy-metabolomic profile in Kluyveromyces lactis
Depletion of a Putatively Druggable Class of Phosphatidylinositol Kinases Inhibits Growth of p53-Null Tumors
Deployment of a lander on the binary asteroid (175706) 1996 FG3, potential target of the european MarcoPolo-R sample return mission
Deployment of robust wireless sensor networks using gene regulatory networks: An isomorphism-based approach
Depleted energy charge and increased pulmonary endothelial permeability induced by mitochondrial complex I inhibition are mitigated by coenzyme Q(1) in the isolated perfused rat lung
Deployment-Related Insomnia in Military Personnel and Veterans
Depletion of 14-3-3 gamma reduces the surface expression of Transient Receptor Potential Melastatin 4b (TRPM4b) Channels and attenuates TRPM4b-mediated glutamate-induced neuronal cell death
Depletion of Carcinoma-Associated Fibroblasts and Fibrosis Induces Immunosuppression and Accelerates Pancreas Cancer with Reduced Survival
Deployment of an imaging system to investigate fine-scale spatial distribution of early life stages of the ctenophore Mnemiopsis leidyi in Chesapeake Bay
Depletion of Alveolar Macrophages Ameliorates Virus-Induced Disease following a Pulmonary Coronavirus Infection
Depletion of Glycolytic Intermediates Plays a Key Role in Glucose-Phosphate Stress in Escherichia coli
Depletion Flocculation Induced by Synergistic Effects of Nanoparticles and Polymers
Depletion of Deoxyribonucleotide Pools Is an Endogenous Source of DNA Damage in Cells Undergoing Oncogene-Induced Senescence
Depletion of polysialic acid from neural cell adhesion molecule (PSA-NCAM) increases CA3 dendritic arborization and increases vulnerability to excitotoxicity
Deploying and sharing U-Compare workflows as web services
Depleted serum vitamin E concentrations precede left displaced abomasum in early-lactation dairy cows
Depletion-mode carrier-plasma optical modulator in zero-change advanced CMOS
Depletion-mode polysilicon optical modulators in a bulk complementary metal-oxide semiconductor process
Depletion of the Aryl Hydrocarbon Receptor in MDA-MB-231 Human Breast Cancer Cells Altered the Expression of Genes in Key Regulatory Pathways of Cancer
Depletion of Foxp3(+) T Cells Abrogates Tolerance of Skin and Heart Allografts in Murine Mixed Chimeras Without the Loss of Mixed Chimerism
Depletion of the protein kinase VRK1 disrupts nuclear envelope morphology and leads to BAF retention on mitotic chromosomes
Depletion of M2-Like Tumor-Associated Macrophages Delays Cutaneous T-Cell Lymphoma Development In Vivo
Depletion of minichromosome maintenance protein 7 inhibits glioblastoma multiforme tumor growth in vivo
Depleting effects of ICME-driven sheath regions on the outer electron radiation belt
Deploying and Provisioning Green Software
Deploying Ibrutinib to Lung Cancer: Another Step in the Quest Towards Drug Repurposing
Depletion of the Adaptor Protein NCK Increases UV-Induced p53 Phosphorylation and Promotes Apoptosis
Depletion of nucleoporins from HeLa nuclear pore complexes to facilitate the production of ghost pores for in vitro reconstitution
Depletion of Rictor, an essential protein component of mTORC2, decreases male lifespan
Depleted Bulk Heterojunctions in Thermally Annealed PbS Quantum Dot Solar Cells
Depletion of naive T cells using clinical grade magnetic CD45RA beads: a new approach for GVHD prophylaxis
Deploying initial attack resources for wildfire suppression: spatial coordination, budget constraints, and capacity constraints
Depleting Gene Activities in Early Drosophila Embryos with the "Maternal-Gal4-shRNA" System
Depletion of FKBP51 in Female Mice Shapes HPA Axis Activity
Depletion of the Chromatin Looping Proteins CTCF and Cohesin Causes Chromatin Compaction: Insight into Chromatin Folding by Polymer Modelling
Deployed antimicrobial stewardship: an audit of antimicrobial use at Role 3
Depletion-interaction effects on the tunneling conductivity of nanorod suspensions
Depletion of intermediate filament protein Nestin, a target of microRNA-940, suppresses tumorigenesis by inducing spontaneous DNA damage accumulation in human nasopharyngeal carcinoma
Deployment of Cognitive Radio in India
Depletion of the novel p53-target gene carnitine palmitoyltransferase 1C delays tumor growth in the neurofibromatosis type I tumor model
Deployed research
Depleted hole conductor-free lead halide iodide heterojunction solar cells
Depletion of Cyclophilins B and C Leads to Dysregulation of Endoplasmic Reticulum Redox Homeostasis
Depletable resources: Necessary, in need of fair treatment, and multi-functional
Deploying Real-Life WSN Applications: Challenges, Solutions, and Future Directions
Depletion of globosides and isoglobosides fully reverts the morphologic phenotype of Fabry disease.
Depletion of the receptor for advanced glycation end products (RAGE) sensitizes towards apoptosis via p53 and p73 posttranslational regulation
Depletion of the RNA-Binding Protein RBP33 Results in Increased Expression of Silenced RNA Polymerase II Transcripts in Trypanosoma brucei
Depletion of Sf3b1 impairs proliferative capacity of hematopoietic stem cells but is not sufficient to induce myelodysplasia
Depletion of abundant plant RuBisCO protein using the protamine sulfate precipitation method
Depletion of FOXP3(+) regulatory T cells promotes hypercholesterolemia and atherosclerosis
Deployable scissor arch for transitional shelters
Depletion of deep marine food patches forces divers to give up early
Depletion of K-Ras promotes proteasome degradation of survivin
Depletion of Cognate Charged Transfer RNA Causes Translational Frameshifting within the Expanded CAG Stretch in Huntingtin
Depletion of T lymphocytes is correlated with response to temozolomide in melanoma patients
Depletion of the Trypanosome Pumilio Domain Protein PUF2 or of Some Other Essential Proteins Causes Transcriptome Changes Related to Coding Region Length
Depletion of blood neutrophils from patients with sepsis: treatment for the future?
Depletion of myeloid-derived suppressor cells during interleukin-12 immunogene therapy does not confer a survival advantage in experimental malignant glioma
Deployment of microbial sensors to assess zinc bioavailability and toxicity in soils
Depletion of cells and abundant proteins from biological samples by enhanced dielectrophoresis
Depletion and fluctuations of a trapped dipolar Bose-Einstein condensate in the roton regime
Depletion of Spleen Macrophages Delays AA Amyloid Development: A Study Performed in the Rapid Mouse Model of AA Amyloidosis
Depletion of Thymosin beta 4 Promotes the Proliferation, Migration, and Activation of Human Hepatic Stellate Cells
Depletion rate analysis of fields and regions: A methodological foundation
Deploying intelligent e-health services in a mobile gateway
Depletion of Perineuronal Nets Enhances Recognition Memory and Long-Term Depression in the Perirhinal Cortex
Deploying Data-Intensive Service Composition with a Negative Selection Algorithm
Depleting T Cells in Newly Diagnosed Autoimmune (Type 1) Diabetes-Are We Getting Anywhere?
Deploying Circuit Emulation Services (CES) Over EPON Using Preemptive Priority Medium Access Controller
Depletion of Uhrf1 inhibits chromosomal DNA replication in Xenopus egg extracts
Depletion of Apoptosis Signal-Regulating Kinase 1 Prevents Bile Duct Ligation-Induced Necroinflammation and Subsequent Peribiliary Fibrosis
Depletion of hepatic stellate cells: Have Kupffer cells lost their bad neighbor?
Depletion of Liquefied Petroleum Gas from Natural Gas by Zeolite Membranes
Depletion of Kupffer Cells Modulates Ethanol-Induced Hepatocyte DNA Synthesis in C57BL/6 Mice
Deployment of a Fully-Automated Green Fluorescent Protein Imaging System in a High Arctic Autonomous Greenhouse
Deployment of Modified Serpentine Structure for Mutual Coupling Reduction in MIMO Antennas
Depletion of JARID1B induces cellular senescence in human colorectal cancer
Depletion of STAT5 blocks TEL-SYK-induced APMF-type leukemia with myelofibrosis and myelodysplasia in mice
Depletion of Luminal Pyridine Nucleotides in the Endoplasmic Reticulum Activates Autophagy with the Involvement of mTOR Pathway
Deployable space manipulator commanded by means of visual-based guidance and navigation
Depletion gels from dense soft colloids: Rheology and thermoreversible melting
Depletion of Aurora-A in zebrafish causes growth retardation due to mitotic delay and p53-dependent cell death
Depletion of Arginine by Recombinant Arginine Deiminase Induces nNOS-Activated Neurotoxicity in Neuroblastoma Cells
Depleting tumor-specific Tregs at a single site eradicates disseminated tumors
Depletion of HPV16 early genes induces autophagy and senescence in a cervical carcinogenesis model, regardless of viral physical state
Depletion of folate receptor beta-expressing macrophages alleviates bleomycin-induced experimental skin fibrosis
Deploying a modeling framework for reusable robot behavior to enable informed strategies for domestic service robots
Depleted cores, multicomponent fits, and structural parameter relations for luminous early-type galaxies
Depletion force in the infinite-dilution limit in a solvent of nonadditive hard spheres
Depletion potential in the infinite dilution limit (vol 128, 134507, 2008)
Depletion-induced sphere-cylinder transition in C12E5 microemulsion: a small-angle X-ray scattering study
Depletion of polycistronic transcripts using short interfering RNAs: cDNA synthesis method affects levels of non-targeted genes determined by quantitative PCR
Deploying learning designs across physical and web spaces: Making pervasive learning affordable for teachers
Deployment of survivable fiber-wireless access for converged optical and data center networks
Deployment strategies for distributed complex event processing
Depletion of inflammatory dendritic cells with anti-CD209 conjugated to saporin toxin
Depletion of gangliosides enhances cartilage degradation in mice
Deployment Dynamics of Ultrathin Composite Booms with Tape-Spring Hinges
Deployment of wireless regional area network and its impact on DTV service coverage
Depletion effects in colloid-polymer solutions
Depletion of Alveolar Macrophages during Influenza Infection Facilitates Bacterial Superinfections
Depletion of testis cell populations in pre-pubertal Bos indicus cattle by irradiation
Deployment and evaluation of a wireless sensor network for methane leak detection
Depletion of circulating progenitor cells precedes overt diabetes: A substudy from the VA enhanced fitness trial
Depletion of the cdk Inhibitor p16(INK4a) Differentially Affects Proliferation of Established Cervical Carcinoma Cells
Depletion of Soil Potassium under Exhaustive Cropping in Inceptisol and Alfisol
Depletion study and estimation of the withdrawal period for enrofloxacin in pacu (Piaractus mesopotamicus)
Depletion of the xynB2 Gene Upregulates beta-Xylosidase Expression in C. crescentus
Depletion of RIPK3 or MLKL blocks TNF-driven necroptosis and switches towards a delayed RIPK1 kinase-dependent apoptosis
Depletion of hnRNP A2/B1 overrides the nuclear retention of the HIV-1 genomic RNA
Deployment of a mobile wireless sensor network with k-coverage constraint: a cellular learning automata approach
Depletion of myocardial glucose is observed during endotoxemic but not hemorrhagic shock in a porcine model
Depletion of Unwanted Nucleic Acid Templates by Selective Cleavage: LNAzymes, Catalytically Active Oligonucleotides Containing Locked Nucleic Acids, Open a New Window for Detecting Rare Microbial Community Members
Depletion of Molecular Chaperones from the Endoplasmic Reticulum and Fragmentation of the Golgi Apparatus Associated with Pathogenesis in Pelizaeus-Merzbacher Disease
Depletion of proBNP(1-108) in Patients with Heart Failure Prevents Cross-Reactivity with Natriuretic Peptides
Depletion of the Nasal Reserve after a Mole Excision
Deployment of proximal thoracic endograft in zone 0 of the ascending aorta: treatment options and early outcomes for aortic arch aneurysms in a high-risk population(dagger)
Depletion of Natural Killer Cells Increases Mice Susceptibility in a Pseudomonas aeruginosa Pneumonia Model
Deployment optimization of software objects by design-level delay estimation
Depleted uranium induces disruption of energy homeostasis and oxidative stress in isolated rat brain mitochondria
Deploying a Maritime Cloud
Deploying AL-FEC protection with online algorithms for multicast services over cellular networks
Deployment and evaluation of a dual-sensor autofocusing method for on-machine measurement of patterns of small holes on freeform surfaces
Depleted Uranium Disturbs Immune Parameters in Zebrafish, Danio rerio: An Ex Vivo/In Vivo Experiment
Depletion of Abundant Plasma Proteins by Poly(N-isopropylacrylamide-acrylic acid) Hydrogel Particles
Depleted TGF-beta(1) levels in end stage renal disease patients from North India
Deployable Vortex Generator Dynamic Stall Alleviation through Experimental and Numerical Investigations
Deployable dynamic analysis and on-orbit experiment for inflatable gravity-gradient boom
Deployment-Based Lifetime Optimization Model for Homogeneous Wireless Sensor Network under Retransmission
Depletion of Bone Marrow-derived Macrophages Perturbs the Innate Immune Response to Surgery and Reduces Postoperative Memory Dysfunction
Deployable morphing structure based on shape memory polymer
Deploying airborne MSNs based on charged particle swarms model
Deployment Optimization of Uniform Linear Antenna Arrays for a Two-Path Millimeter Wave Communication System
Depletion of Kupffer cells attenuates systemic insulin resistance, inflammation and improves liver autophagy in high-fat diet fed mice
Deployment Optimization for One-Dimensional Optical Fiber Sensor Networks
Deploying public charging stations for electric vehicles on urban road networks
Depletion benchmarks calculation of random media using explicit modeling approach of RMC
Depletion of L3MBTL1 promotes the erythroid differentiation of human hematopoietic progenitor cells: possible role in 20q(-) polycythemia vera
Depletion sensitivity predicts unhealthy snack purchases
Depletion of CD11c(+) cells in the CD11c.DTR model drives expansion of unique CD64(+) Ly6C(+) monocytes that are poised to release TNF-alpha
Depletion of histone demethylase KDM5B inhibits cell proliferation of hepatocellular carcinoma by regulation of cell cycle checkpoint proteins p15 and p27
Deployment and Performance Analyses of High-Resolution Iowa XPOL Radar System during the NASA IFloodS Campaign
Depletion of BIS sensitizes A549 cells to treatment with cisplatin
Depletion of IL-2 receptor beta-positive cells protects from diabetes in non-obese diabetic mice
Depletion of SAM50 Specifically Targets BCR-ABL-Expressing Leukemic Stem and Progenitor Cells by Interfering with Mitochondrial Functions
Deployable Soft Composite Structures
Depletion of Abundant Sequences by Hybridization (DASH): using Cas9 to remove unwanted high-abundance species in sequencing libraries and molecular counting applications
Depletion of GSH in human blood plasma and cytosolic fraction during cadmium toxicity is temperature and pH dependent
Depletion of Human DNA in Spiked Clinical Specimens for Improvement of Sensitivity of Pathogen Detection by Next-Generation Sequencing
Depletion of Cks1 and Cks2 expression compromises cell proliferation and enhance chemotherapy-induced apoptosis in HepG2 cells
Deployment and evaluation of a fully applicable distributed event detection system in Wireless Sensor Networks
Depletion of Enteroendocrine and Mucus-Secreting Cells is Associated With Colorectal Carcinogenesis Severity and Impaired Intestinal Motility in Rats
Deployable Prismatic Structures With Rigid Origami Patterns
Depleted uranium induces sex- and tissue-specific methylation patterns in adult zebrafish
Depleting Tumor-NQO1 Potentiates Anoikis and Inhibits Growth of NSCLC
Deployment shock attenuation of a solar array tape hinge by means of the Martensite detwinning of NiTi Shape Memory Alloy
Depletion of the LINC complex disrupts cytoskeleton dynamics and meiotic resumption in mouse oocytes
Depletion of Butyrate-Producing Clostridia from the Gut Microbiota Drives an Aerobic Luminal Expansion of Salmonella
Depletion of cardiac 14-3-3 eta protein adversely influences pathologic cardiac remodeling during myocardial infarction after coronary artery ligation in mice
Depletion Interactions and Modulation of DNA-Intercalators Binding: Opposite Behavior of the %26quot;Neutral%26quot; Polymer Poly(Ethylene-Glycol)
Depletion of elongation initiation factor 4E binding proteins by CRISPR/Cas9 enhances the antiviral response in porcine cells
Depletion of regulatory T cells leads to an exacerbation of delayed-type hypersensitivity arthritis in C57BL/6 mice that can be counteracted by IL-17 blockade
Deployment analysis considering the cable-net tension effect for deployable antennas
Deployment and reallocation in mobile survivability-heterogeneous wireless sensor networks for barrier coverage
Depleting senescent cells to combat aging
Depletion with Cyclodextrin Reveals Two Populations of Cholesterol in Model Lipid Membranes
Deploying and Managing a Network of Autonomous Internet Measurement Probes: Lessons Learned
Depletion and Phase Transformation of a Submicron Ni(P) Film in the Early Stage of Soldering Reaction between Sn-Ag-Cu and Au/Pd(P)/Ni(P)/Cu
Depletion of regulatory T cells decreases cardiac parasitosis and inflammation in experimental Chagas disease
Depletion of eIF2xGTPxMet-tRNAi translation initiation complex up-regulates BRCA1 expression in vitro and in vivo
Depletion of regulatory T-cells leads to moderate B-cell antigenicity in respiratory syncytial virus infection
Deploying R&D sensors to monitor heterogeneous objects and accomplish temporal coverage
Depletion of H2S during obesity enhances store-operated Ca2+ entry in adipose tissue macrophages to increase cytokine production
Depletion of mitoferrins leads to mitochondrial dysfunction and impairment of adipogenic differentiation in 3T3-L1 preadipocytes
Depletion and the dynamics in colloid-polymer mixtures
Depletion Mode Oxide TFT Shift Register for Variable Frame Rate AMOLED Displays
Depletion of the cereblon gene activates the unfolded protein response and protects cells from ER stress-induced cell death
Depleting MET-Expressing Tumor Cells by ADCC Provides a Therapeutic Advantage over Inhibiting HGF/MET Signaling
Depletion of Alloreactive T-Cells by Anti-CD137 Saporin Immunotoxin
Depletion of Intracellular Thiols and Increased Production of 4-Hydroxynonenal that Occur During Cryopreservation of Stallion Spermatozoa Lead to Caspase Activation, Loss of Motility, and Cell Death
Depletion and refertilization of the Tethyan oceanic upper mantle as revealed by the early Jurassic Refahiye ophiolite, NE Anatolia-Turkey
Depletion of Foxp3+Regulatory T Cells Promotes Profibrogenic Milieu of Cholestasis-Induced Liver Injury
Depletion of MEIS2 inhibits osteogenic differentiation potential of human dental stem cells
Depletion, cryptic metasomatism, and modal metasomatism (refertilization) of Variscan lithospheric mantle: Evidence from major elements, trace elements, and Sr-Nd-Os isotopes in a Saxothuringian garnet peridotite
Depletion of REF/Aly alters gene expression and reduces RNA polymerase II occupancy
Depletion forces between particles immersed in nanofluids
Depletion of polymorphonuclear leukocytes has no effect on preterm delivery in a mouse model of Escherichia coli-induced labor
Depletion or over-expression of Sh3px1 results in dramatic changes in cell morphology
Depletion, cryptic metasomatism, and modal metasomatism of central European lithospheric mantle: evidence from elemental and Li isotope compositions of spinel peridotite xenoliths, Kozakov volcano, Czech Republic
Depletion of Amyloid Precursor Protein (APP) Causes G0 Arrest in Non-Small Cell Lung Cancer (NSCLC) Cells
Depletion of Neutrophils Protects Against L-Arginine-Induced Acute Pancreatitis in Mice
Depletion evaluation of an ADS using reprocessed fuel
Depletion of nonlinearity in the pressure force driving Navier-Stokes flows
Deployment of roadside units based on partial mobility information
Depletion of the cisplatin targeted HMGB-box factor UBF selectively induces p53-independent apoptotic death in transformed cells
Depletion, melting and reentrant solidification in mixtures of soft and hard colloids
Depleted components in the source of hotspot magmas: Evidence from the Ninetyeast Ridge (Kerguelen)
Depletion of metronidazole in brook trout (Salvelinus fontinalis)
Depleted iron stores and iron deficiency anemia associated with reduced ferritin and hepcidin and elevated soluble transferrin receptors in a multiethnic group of preschool-age children
Deployment of Large-Size Shell Constructions by Internal Pressure
Depletion of bromadiolone in tissues of hogs following oral exposure
Depletion of high-affinity corticosteroid-binding globulin corresponds to illness severity in sepsis and septic shock; clinical implications
Depletion of Bone Marrow CCSP-Expressing Cells Delays Airway Regeneration
Depletion of chlorine into HCI ice in a protostellar core The CHESS spectral survey of OMC-2 FIR 4
Deploying swarm intelligence in medical imaging identifying metastasis, microcalcifications and brain image segmentation
Depletion of enteroantigen-activated CD4(+) T cells: effects on proliferation and pathogenicity
Depletion of epsilon-COP in the COPI Vesicular Coat Reduces Cleistothecium Production in Aspergillus nidulans
Depletion of fat-resident T-reg cells prevents age-associated insulin resistance
Depletion Stabilization in Nanoparticle-Polymer Suspensions: Multi-Length-Scale Analysis of Microstructure
Depletion of p62 reduces nuclear inclusions and paradoxically ameliorates disease phenotypes in Huntington's model mice
Depletion of p18/LAMTOR1 promotes cell survival via activation of p27(kip1)-dependent autophagy under starvation
Depletion of arginine in yeast cells decreases the resistance to hydrostatic pressure
Deploying and Visualising Teacher's Scripts of Small Group Activities in a Multi-surface Classroom Ecology: a Study in-the-wild
Depletion of regulatory T cells in a hapten-induced inflammation model results in prolonged and increased inflammation driven by T cells
Deployment of Microbial Biosensors to Assess the Performance of Ameliorants in Metal-Contaminated Soils
Deploying 5G-Technologies in Smart City and Smart Home Wireless Sensor Networks with Interferences
Depletion forces due to image charges near dielectric discontinuities
Depletion of androgen receptor (AR) in mesenchymal stem cells (MSCs) inhibits induction of CD4+CD25+FOX3+regulatory T (Treg) cells via androgen TGF-beta interaction
Depletion of histone deacetylase 1 inhibits metastatic abilities of gastric cancer cells by regulating the miR-34a/CD44 pathway
Depletion of the chromatin remodeler CHD4 sensitizes AML blasts to genotoxic agents and reduces tumor formation
Deployment method of UAVs with energy constraint for multiple tasks
Deployment of regulatory genes during gastrulation and germ layer specification in a model spiralian mollusc Crepidula
Depletion of NADP(H) due to CD38 activation triggers endothelial dysfunction in the postischemic heart
Deploying four optical UAV-based sensors over grassland: challenges and limitations
Depletion forces and flocculation with surfactants, polymers and particles - Synergistic effects
Deployment and testing of the sustained petascale Blue Waters system
Depletion of microglia and inhibition of exosome synthesis halt tau propagation
Depletion forces in bulk and in confined domains: From Asakura-Oosawa to recent statistical physics advances
Deployment guidelines for diplomats: current policy and practice
Depletion of UBC9 Causes Nuclear Defects during the Vegetative and Sexual Life Cycles in Tetrahymena thermophila
Depletion of marine megafauna and shifting baselines among artisanal fishers in eastern Brazil
Depletion of carbon nanotube depositions and tube realignment in the spreading of sessile drops
Depletion of selenium in blood, liver and muscle from beef heifers previously fed forages containing high levels of selenium
Deployment of Paired Push Nets from Jet-Propelled Kayaks to Sample Ichthyoplankton
Deployment of an Adaptable Sensorless Commutation Technique on BLDC Motor Drives Exploiting Zero Sequence Voltage
Depletion of B cells induces remission of autoimmune hepatitis in mice through reduced antigen presentation and help to T cells
Depletion of Uric Acid Due to SLC22A12 (URAT1) Loss-of-Function Mutation Causes Endothelial Dysfunction in Hypouricemia
Deployment of Wi-Fi Network as an Emergency Survival Communication Network in Wakkanai, Hokkaido
Deployment of wireless sensor network in dispersed renewable energy sources for increasing efficiency of power distribution networks
Depleted subcontinental lithospheric mantle and its tholeiitic melt metasomatism beneath NE termination of the Eger Rift (Europe): the case study of the Steinberg (Upper Lusatia, SE Germany) xenoliths
Deployment and efficacy of ground versus helicopter emergency service for severely injured patients. Analysis of a nationwide Swiss trauma center
Depletion of yeast PDK1 orthologs triggers a stress-like transcriptional response
Deployment of spatial attention without moving the eyes is boosted by oculomotor adaptation
Depletion of UDP-Glucose and UDP-Galactose Using a Degron System Leads to Growth Cessation of Leishmania major
Deploying clinical grade magnetic nanoparticles with magnetic fields to magnetolabel neural stem cells in adherent versus suspension cultures
Depletion of neural stem cells from the subventricular zone of adult mouse brain using cytosine b-Arabinofuranoside
Deployment dynamic for the flexible tethered-assisted deorbit system
Deployment of e-health services - a business model engineering strategy
Depletion of CD4(+) CD25(+) T cells switches the whey-allergic response from immunoglobulin E- to immunoglobulin free light chain-dependent
Depletion of the human N-alpha-terminal acetyltransferase A induces p53-dependent apoptosis and p53-independent growth inhibition
Depletion of regulatory T cells by anti-GITR mAb as a novel mechanism for cancer immunotherapy
Deploying artemether-lumefantrine with rapid testing in Ethiopian communities: impact on malaria morbidity, mortality and healthcare resources
Deployment algorithms for a power-constrained mobile sensor network
Depleted-Heterojunction Colloidal Quantum Dot Solar Cells
Depleting Syndecan-4(+) T Lymphocytes Using Toxin-Bearing Dendritic Cell-Associated Heparan Sulfate Proteoglycan-Dependent Integrin Ligand: A New Opportunity for Treating Activated T Cell-Driven Disease
Depletion of the cellular levels of Bag-1 proteins attenuates phorbol ester-induced downregulation of I kappa B alpha and nuclear accumulation of NF-kappa B
Depletion of 14-3-3 proteins in bloodstream-form Trypanosoma brucei inhibits variant surface glycoprotein recycling
Depletion of mammalian target of rapamycin (mTOR) via siRNA mediated knockdown leads to stabilization of beta-catenin and elicits distinct features of cardiomyocyte hypertrophy
Depleted mantle sources through time: Evidence from Lu-Hf and Sm-Nd isotope systematics of Archean komatiites
Deploying general-purpose virtual research environments for humanities research
Depletion of GSH in glial cells induces neurotoxicity: relevance to aging and degenerative neurological diseases
Deployment of hagfish slime thread skeins requires the transmission of mixing forces via mucin strands
Deployment and the Use of Mental Health Services among US Army Wives
Depletion of cellular brassinolide decreases embryo production and disrupts the architecture of the apical meristems in Brassica napus microspore-derived embryos
Depletion of Intranuclear Rodlets in Mouse Models of Diabetes
Depletion of WRN protein causes RACK1 to activate several protein kinase C isoforms
Deploying multiple interconnected gateways in heterogeneous wireless sensor networks: An optimization approach
Depletion risk assessment of the Nossana Spring (Bergamo, Italy) based on the stochastic modeling of recharge
Depletion of highly abundant proteins in blood plasma by hydrophobic interaction chromatography for proteomic analysis
Depletion of calcium stores contributes to progesterone-induced attenuation of calcium signaling of G protein-coupled receptors
Depletion of the excited state population in negative ions using laser photodetachment in a gas-filled RF quadrupole ion guide
Depletion of Dnmt1-associated protein 1 triggers DNA damage and compromises the proliferative capacity of hematopoietic stem cells
Depletion of resuscitation-promoting factors has limited impact on the drug susceptibility of Mycobacterium tuberculosis
Depletion of CO oxidation activity of supported Au catalysts prepared from thiol-capped Au nanoparticles by sulfates formed at Au-titania boundaries: Effects of heat treatment conditions on catalytic activity
Depletion of Veterinary Drugs Used in Aquaculture after Administration in Feed to Gilthead Seabream (Sparus aurata)
Depletion forces drive polymer-like self-assembly in vibrofluidized granular materials
Depletion of kidney CD11c(+) F4/80(+) cells impairs the recovery process in ischaemia/reperfusion-induced acute kidney injury
Depletion or Preservation of Cardiac Sympathetic Nerve - An Autopsy-Verified Contrast in Two Cases of Alzheimer's Disease with or without Lewy Bodies
Depletion of Virion-Associated Divalent Cations Induces Parvovirus Minute Virus of Mice To Eject Its Genome in a 3 '-to-5 ' Direction from an Otherwise Intact Viral Particle
Deployment of Trichoderma harzianum WL1 laccase in pulp bleaching and paper industry effluent treatment
Depletion of oxidative and endoplasmic reticulum stress regulators in Pick disease
Depletion interaction between spheres in an ideal equilibrium polymer fluid: Exact asymptotic results
Deploying Business Logic for Railway Infrastructure Charging
Deploying Sensor Networks With Guaranteed Fault Tolerance
Depleted folate pool and dysfunctional mitochondria associated with defective mitochondrial folate proteins sensitize Chinese ovary cell mutants to tert-butylhydroperoxide-induced oxidative stress and apoptosis
Depletion of TGF-beta from fetal bovine serum
Depletion of Dendritic Cells Enhances Susceptibility to Cell-Free Infection of Human T Cell Leukemia Virus Type 1 in CD11c-Diphtheria Toxin Receptor Transgenic Mice
Depletion of a single nucleoporin, Nup107, induces apoptosis in eukaryotic cells
Depletion of Gr-1(+), but not Ly6G(+), immune cells exacerbates virus replication and disease in an intranasal model of herpes simplex virus type 1 infection
Depletion of Liver Kupffer Cells Prevents the Development of Diet-Induced Hepatic Steatosis and Insulin Resistance
Depletion of Abundant Plasma Proteins and Limitations of Plasma Proteomics
Depletion by Styrene of Glutathione in Plasma and Bronchioalveolar Lavage Fluid of Non-Swiss Albino (NSA) Mice
Depletion of peripheral blood B cells with Rituximab and phenotype characterization of the recovering population in a patient with follicular lymphoma
Depletion of Zn, Fe, Mn and Cu from cultivated Egyptian soils by field crops
Depletion of CoREST Does Not Improve the Replication of ICP0 Null Mutant Herpes Simplex Virus Type 1
Deployment optimization strategy for underwater sensor networks based on artificial potential field
Depletion of Key Meiotic Genes and Transcriptome-Wide Abiotic Stress Reprogramming Mark Early Preparatory Events Ahead of Apomeiotic Transition
Deploying Energy Routers in an Energy Internet Based on Electric Vehicles
Depletion of NAD pool contributes to impairment of endothelial progenitor cell mobilization in diabetes
Depletion of nucleus accumbens dopamine leads to impaired reward and aversion processing in mice: Relevance to motivation pathologies
Deploying Robots With Two Sensors in K-1,K-6-Free Graphs
Depletion of thymopoietin inhibits proliferation and induces cell cycle arrest/apoptosis in glioblastoma cells
Depletion of gamma-glutamylcyclotransferase inhibits breast cancer cell growth via cellular senescence induction mediated by CDK inhibitor upregulation
Deployment strategies in the wireless sensor network: A comprehensive review
Deployment of Small-Ring Azaheterocycles as Building Blocks for the Synthesis of Organofluorine Compounds
Depletion of oxygen, nitrate and nitrite in the Peruvian oxygen minimum zone cause an imbalance of benthic nitrogen fluxes
Deployment of a Geographical Information System Life Cycle Assessment Integrated Framework for Exploring the Opportunities and Challenges of Enhanced Oil Recovery Using Industrial CO2 Supply in the United States
Depletion of kinesin-12, amyosin-IIB-interacting protein, promotes migration of cortical astrocytes
Depletion of T lymphocytes ameliorates cardiac fibrosis in streptozotocin-induced diabetic cardiomyopathy
Depletion region surface effects in electron beam induced current measurements
Depletion of Olig2 in oligodendrocyte progenitor cells infected by Theiler's murine encephalomyelitis virus
Depletion of tyrosyl DNA phosphodiesterase 2 activity enhances etoposide-mediated double-strand break formation and cell killing
Depleted interstitial cells of Cajal and fibrosis in the pylorus: Novel features of gastroparesis
Deployment of Applications in Wireless Sensor Networks: A Gossip-Based Lifetime Maximization Approach
Depletion of Histone Demethylase Jarid1A Resulting in Histone Hyperacetylation and Radiation Sensitivity Does Not Affect DNA Double-Strand Break Repair
Depletion of Alveolar Macrophages Does Not Prevent Hantavirus Disease Pathogenesis in Golden Syrian Hamsters
Depletion of Tip60 from In Vivo Cardiomyocytes Increases Myocyte Density, Followed by Cardiac Dysfunction, Myocyte Fallout and Lethality
Depletion of Undecaprenyl Pyrophosphate Phosphatases Disrupts Cell Envelope Biogenesis in Bacillus subtilis
Depletion of CD4(+) CD25(+) regulatory T cells confers susceptibility to experimental autoimmune encephalomyelitis (EAE) in GM-CSF-deficient Csf2(-/-) mice
Deployment-Associated Exposure Surveillance With High-Resolution Metabolomics
Deploying bioresorbable vascular scaffoldstardus, altius, amplius!!
Deployment of Time-Delayed Integral Control for Suppressing Thermoacoustic Instabilities
Deployment and demonstration of wide area monitoring system in power system of Great Britain
Depletion of B cell CLL/Lymphoma 11B Gene Expression Represses Glioma Cell Growth
Depletion of ribosomal protein S19 causes a reduction of rRNA synthesis
Deploying Dense Networks for Maximal Energy Efficiency: Small Cells Meet Massive MIMO
Depletion controlled surface deposition of uncharged colloidal spheres from stable bulk dispersions
Depletion control and analysis for groundwater protection and sustainability in the Xingtai region of China
Depletion of Phagocytic Cells during Nonlethal Plasmodium yoelii Infection Causes Severe Malaria Characterized by Acute Renal Failure in Mice
Deploying aptameric sensing technology for rapid pandemic monitoring
Depletion of Cultivatable Gut Microbiota by Broad-Spectrum Antibiotic Pretreatment Worsens Outcome After Murine Stroke
Depletion of autophagy receptor p62/SQSTM1 enhances the efficiency of gene delivery in mammalian cells
Depletion of norepinephrine of the central nervous system Down-regulates the blood glucose level in D-glucose-fed and restraint stress models
Depletion of Inositol Polyphosphate 4-Phosphatase II Suppresses Callosal Axon Formation in the Developing Mice
Deploying time investigation of automotive active hood lift mechanism with different design parameters of hinge part
Depletion of pro-oncogenic RUNX2 enhances gemcitabine (GEM) sensitivity of p53-mutated pancreatic cancer Panc-1 cells through the induction of pro-apoptotic TAp63
Deployment shock attenuation of a solar array tape hinge by means of the Martensite detwinning of NiTi Shape Memory Alloy (vol 87, 035104, 2016)
Depletion of lambda-DNA near moving contact line
Depletion of tyrosyl-DNA phosphodiesterase 1 alpha (MtTdp1 alpha) affects transposon expression in Medicago truncatula
Depletion of CABYR-a/b sensitizes lung cancer cells to TRAIL-induced apoptosis through YAP/p73-mediated DR5 upregulation
Depletion of FAP(+) cells reduces immunosuppressive cells and improves metabolism and functions CD8(+)T cells within tumors
Depletion of SENP1 suppresses the proliferation and invasion of triple-negative breast cancer cells
Depletion of Dicer promotes epithelial ovarian cancer progression by elevating PDIA3 expression
Depletion of primary cilia from mature dentate granule cells impairs hippocampus-dependent contextual memory
Depletion of UBA protein 2-like protein inhibits growth and induces apoptosis of human colorectal carcinoma cells
Depletion of G9a gene induces cell apoptosis in human gastric carcinoma
Deployment of a web-based control laboratory using HTML5
Deployment algorithms of wireless sensor networks for near-surface underground oil and gas pipeline monitoring
Depletion sphere: Explaining the number of Ag islands on Au nanoparticles
Depletion of CCL27 inhibits cell proliferation, metastasis and adhesion in ectopic endometrial stromal cells
Deployment of ACT antimalarials for treatment of malaria: challenges and opportunities
Depleting T-cell subpopulations in organ transplantation
Depletion of RUNX1/ETO in t(8;21) AML cells leads to genome-wide changes in chromatin structure and transcription factor binding
Deployment of self-expandable stents in aneurysmatic cerebral vessels: comparison of different computational approaches for interventional planning
Depletion of GGA1 and GGA3 Mediates Postinjury Elevation of BACE1
Depleted and enriched matter in the upper mantle of Spitsbergen: Evidence from the study of mantle xenoliths
Deployable Laboratory Response to Influenza Pandemic; PCR Assay Field Trials and Comparison with Reference Methods
Depletion of Autoreactive Plasma Cells and Treatment of Lupus Nephritis in Mice Using CEP-33779, a Novel, Orally Active, Selective Inhibitor of JAK2
Depletion of Cytotoxic T-Cells Does Not Protect NUP98-HOXD13 Mice from Myelodysplastic Syndrome but Reveals a Modest Tumor Immunosurveillance Effect
Depletion of measles virus glycoproteln-specific antibodies from human sera reveals genotype-specific neutralizing antibodies
Depletion of Kcnq1ot1 non-coding RNA does not affect imprinting maintenance in stem cells
Depletion of dimeric all-alpha dUTPase induces DNA strand breaks and impairs cell cycle progression in Trypanosoma brucei
Depletion of endogenous tumor-associated regulatory T cells improves the efficacy of adoptive cytotoxic T-cell immunotherapy in murine acute myeloid leukemia
Depletion of water molecules during ethanol wet-bonding with etch and rinse dental adhesives
Depletion of melamine and cyanuric acid in serum from catfish Ictalurus punctatus and rainbow trout Onchorhynchus mykiss
Depletion of cellular polyamines, spermidine and spermine, causes a total arrest in translation and growth in mammalian cells
Deployment and Post-Deployment Experiences in OEF/OIF Veterans: Relationship to Gray Matter Volume
Depletion and recovery of lymphoid subsets following morphine administration
Depletion of Urinary Zilpaterol Residues in Horses As Measured by ELISA and UPLC-MS/MS
Depletion of phagocytic myeloid cells triggers spontaneous T cell- and NK cell-dependent antitumor activity
Depletion of regulatory T cells by targeting folate receptor 4 enhances the potency of a GM-CSF-secreting tumor cell immunotherapy
Deploying RFID-Enabled Services in the Retail Supply Chain: Lessons Learned toward the Internet of Things
Depleted Bulk Heterojunction Colloidal Quantum Dot Photovoltaics
Depletion of glutamine enhances sodium butyrate-induced erythroid differentiation of K562 cells
Deployment Stress and Well-Being Among Military Spouses: The Role of Social Support
Depletion at solid/liquid interfaces: Flowing hexadecane on functionalized surfaces
Depletion of alveolar macrophages prolongs survival in response to acute pneumovirus infection
Deployment-related severe fatigue with depressive symptoms is associated with increased glucocorticoid binding to peripheral blood mononuclear cells
Depletion of BIRC6 leads to retarded bovine early embryonic development and blastocyst formation in vitro
Depletion of Embryonic Stem Cell Signature by Histone Deacetylase Inhibitor in NCCIT Cells: Involvement of Nanog Suppression
Depletion of the heaviest stable N isotope is associated with NH4+/NH3 toxicity in NH4+-fed plants
Depletion of Beclin-1 due to proteolytic cleavage by caspases in the Alzheimer's disease brain
Depletion of CD4(+)CD25(+) regulatory T cells can promote local immunity to suppress tumor growth in benzo[a]pyrene-induced forestomach carcinoma
Depletion sensor for protective high temperature coatings
Deployment of response surface methodology to optimise recovery of grape (Vitis vinifera) stem polyphenols
Depletion of the C3 component of complement enhances the ability of rituximab-coated target cells to activate human NK cells and improves the efficacy of monoclonal antibody therapy in an in vivo model
Depletion of the Colonic Epithelial Precursor Cell Compartment Upon Conditional Activation of the Hedgehog Pathway
Deployment Strategies and Performance Evaluation of a Virtual-Tag-Enabled Indoor Location Sensing Approach
Depletion of nuclear actin is a key mediator of quiescence in epithelial cells
Depletion of B Lymphocytes From Cerebral Perivascular Spaces by Rituximab
Depletion of a nucleolar protein activates xenobiotic detoxification genes in Caenorhabditis elegans via Nrf/SKN-1 and p53/CEP-1
Depletion of alloreactive T-cells in vitro using the proteasome inhibitor bortezomib preserves the immune response against pathogens
Deploying insulin granule-granule fusion to rescue deficient insulin secretion in diabetes
Depletion of c-Rel from Cytokine Gene Promoters Is Required for Chromatin Reassembly and Termination of Gene Responses to T Cell Activation
Depletion of T-cell intracellular antigen (TIA)-proteins promotes cell proliferation
Depletion-mode ZnO nanowire field-effect transistor
Depletion-layer-induced size effects in ferroelectric thin films: A Ginzburg-Landau model study
Depletion of the actin bundling protein SM22/transgelin increases actin dynamics and enhances the tumourigenic phenotypes of cells
Depletion of selective serotonin reuptake inhibitors during sewage sludge composting
Depletion of Plasmacytoid Dendritic Cells Inhibits Tumor Growth and Prevents Bone Metastasis of Breast Cancer Cells
Depletion of nitrogen-vacancy color centers in diamond via hydrogen passivation
Depletion-Induced Shape and Size Selection of Gold Nanoparticles
Depletion of Endogenous Noradrenaline Does Not Prevent Spinal Cord Plasticity Following Peripheral Nerve Injury
Depletion of cathepsin D by transglutaminase 2 through protein cross-linking promotes cell survival
Depletion of florfenicol amine, marker residue of florfenicol, from the edible fillet of tilapia (Oreochromis niloticus x O. niloticus and O. niloticus x O. aureus) following florfenicol administration in feed
Depletion potentials in highly size-asymmetric binary hard-sphere mixtures: Comparison of simulation results with theory
Depletion calculations based on perturbations. Application to the study of a REP-like assembly at beginning of cycle with TRIPOLI-4 (R)
Depletion of neutrophils in a protective model of pulmonary cryptococcosis results in increased IL-17A production by gamma/delta T cells
Depletion of E-cadherin disrupts establishment but not maintenance of cell junctions in Madin-Darby canine kidney epithelial cells
Deployment of parallel linear genetic programming using GPUs on PC and video game console platforms
Depletion of intestinal phosphate after operative injury activates the virulence of P aeruginosa causing lethal gut-derived sepsis
Deployable overlay network for defense against distributed SYN flood attacks
Depletion of alveolar macrophages decreases the dissemination of a glucosylceramide-deficient mutant of Cryptococcus neoformans in Immunodeficient mice
Depletion of membrane cholesterol causes ligand-independent activation of Fas and apoptosis
Depletion of white adipocyte progenitors induces beige adipocyte differentiation and suppresses obesity development
Depletion of Several Antibiotics Used in Industrial Process Using Immobilized TiO2
Deployable and Conformal Planar Micro-Devices: Design and Model Validation
Depletion study of three formulations of flumequine in edible tissues and drug transfer into chicken feathers
Deploying Financial Emotional Intelligence
Deployment to a Combat Zone and Other Risk Factors for Mental Health-Related Disability Discharge From the US Army: 1994-2007
Depletion of the C. elegans NAC Engages the Unfolded Protein Response, Resulting in Increased Chaperone Expression and Apoptosis
Deployment-Related Depression Screening, 2001-2008 Comparing Clinical Versus Research Surveys
Deploying information technology and continuous control monitoring systems in hospitals to prevent medication errors
Deployment of the human immunodeficiency virus type 1 protein arsenal: combating the host to enhance viral transcription and providing targets for therapeutic development
Depletion of the Central Metabolite NAD Leads to Oncosis-mediated Cell Death
Depletion of nuclear histone H2A variants is associated with chronic DNA damage signaling upon drug-evoked senescence of human somatic cells
Depletion of Naive Lymphocytes with Fas Ligand Ex Vivo Prevents Graft-versus-Host Disease without Impairing T Cell Support of Engraftment or Graft-versus-Tumor Activity
Depletion of Chicken Egg White Proteins by Pending Templates Imprinted Polymers
Depletion of Cellular Iron by Curcumin Leads to Alteration in Histone Acetylation and Degradation of Sml1p in Saccharomyces cerevisiae
Depletion of CD25(+) cells during acute toxoplasmosis does not significantly increase mortality in Swiss OF1 mice
Depletion of B2 but Not B1a B Cells in BAFF Receptor-Deficient ApoE(-/-) Mice Attenuates Atherosclerosis by Potently Ameliorating Arterial Inflammation
Deployment and Performance of a Broadband Seismic Network near the New Korean Jang Bogo Research Station, Terra Nova Bay, East Antarctica
Depletion of Protease-Activated Receptor 2 but Not Protease-Activated Receptor 1 May Confer Protection Against Osteoarthritis in Mice Through Extracartilaginous Mechanisms
Deployment of the microbial fuel cell latrine in Ghana for decentralized sanitation
Depletion of Mitochondrial DNA Stabilizes C1qTNF-Related Protein 6 mRNA in Muscle Cells
Depletion of Foxp3+regulatory T cells increases severity of mechanical allodynia and significantly alters systemic cytokine levels following peripheral nerve injury
Depletion of Circulating Regulatory T Cells during Severe Respiratory Syncytial Virus Infection in Young Children
Depletion of Pericentrin in Mouse Oocytes Disrupts Microtubule Organizing Center Function and Meiotic Spindle Organization
Depletion of optineurin in RGC-5 cells derived from retinal neurons causes apoptosis and reduces the secretion of neurotrophins
Depletion of pro-inflammatory CD161(+) double negative (CD3(+)CD4(-)CD8(-)) T cells in AIDS patients is ameliorated by expansion of the gamma delta T cell population
Depletion of L-arginine induces autophagy as a cytoprotective response to endoplasmic reticulum stress in human T lymphocytes
Depletion of endogenous kallistatin exacerbates renal and cardiovascular oxidative stress, inflammation, and organ remodeling
Deployment of Distributed Applications in Wireless Sensor Networks
Depletion interactions caused by polydisperse, hard platelets
Depletion Interactions Effected by Different Variants of fd Virus
Depletion potentials acting between colloid particles in a polymer solution
Depleting Rac1 in mouse rod photoreceptors protects them from photo-oxidative stress without affecting their structure or function
Depletion of Brain Docosahexaenoic Acid Impairs Recovery from Traumatic Brain Injury
Deployment of stent grafts in curved aneurysmal arteries: toward a predictive numerical tool
Depletion induced isotropic-isotropic phase separation in suspensions of rod-like colloids
Depletion-induced percolation in networks of nanorods
Depletion forces between nonspherical objects
Depletion potentials between colloids and patterned surfaces
Depletion potential in the infinite dilution limit
Depletion of 26S proteasomes in mouse brain neurons causes neurodegeneration and Lewy-like inclusions resembling human pale bodies
Deploying RNA and DNA with Functionalized Carbon Nanotubes
Depletion interactions between colloidal particles in polymer solutions: density functional approach
Depleting endogenous neurotrophin-3 enhances myelin formation in the trembler-J mouse, a model of a peripheral neuropathy
Deployment of RFID in Healthcare Facilities-Experimental Design in MRI Department
Depletion of WRN enhances DNA damage in HeLa cells exposed to the benzene metabolite, hydroquinone
Depletion of the bloom syndrome helicase stimulates homology-dependent repair at double-strand breaks in human chromosomes
Depletion of surface accumulation charge in InN by anodic oxidation
Depleting antibody induction in simultaneous pancreas-kidney transplantation: a prospective single-center comparison of alemtuzumab versus rabbit anti-thymocyte globulin
Depletion of Regulatory T Lymphocytes Reverses the Imbalance between Pro- and Anti-Tumor Immunities via Enhancing Antigen-Specific T Cell Immune Responses
Depletion forces in thin liquid films due to nonionic and ionic surfactant micelles
Depletion of Nuclear Poly(A) Binding Protein PABPN1 Produces a Compensatory Response by Cytoplasmic PABP4 and PABP5 in Cultured Human Cells
Depletion of Mitochondrial Acyl Carrier Protein in Bloodstream-Form Trypanosoma brucei Causes a Kinetoplast Segregation Defect
Depletion of Alveolar Macrophages Abrogates Prolongation of Cardiac Allograft Survival Induced by Intratracheal Delivery of Alloantigen
Deployment and release of interhemispheric inhibition in dual-stream rapid serial visual presentation
Depletion of CD4(+) T Cells in Semen During HIV Infection and Their Restoration Following Antiretroviral Therapy
Depletion of Intracellular Zinc Inhibits the Ubiquitin Ligase Activity of Viral Regulatory Protein ICP0 and Restricts Herpes Simplex Virus 1 Replication in Cell Culture
Deploying parasite profilin on a mission of invasion and danger
Depletion of calcium stores regulates calcium influx and signal transmission in rod photoreceptors
Depletion of TDP-43 affects Drosophila motoneurons terminal synapsis and locomotive behavior
Deployment of drug-eluting stents for isolated proximal lad disease is associated with lower major adverse cardiac events and no increase in stent thrombosis when compared with bare metal stents: A 5-year observational cohort study
Depletion of apical transport proteins perturbs epithelial cyst formation and ciliogenesis
Deployment-Related Testing and Treatment for Latent Tuberculosis Infection, Part I
Deployment-Related Testing and Treatment for Latent Tuberculosis Infection, Part II
Depletion length and space charge layer capacitance in doped semiconductor nanoshpere
Depletion of macrophages in mice results in higher dengue virus titers and highlights the role of macrophages for virus control
Depletion of endothelial progenitor cells may link pulmonary fibrosis and pulmonary hypertension
Depleting regulatory T cells with arginine-rich, cell-penetrating, peptide-conjugated morpholino oligomer targeting FOXP3 inhibits regulatory T-cell function
Depletion of C3orf1/TIMMDC1 Inhibits Migration and Proliferation in 95D Lung Carcinoma Cells
Depletion of intracellular glutathione mediates butenolide-induced cytotoxicity in HepG2 cells
Depletion effects in a mixture of hard and attractive colloids
Depletion of intracellular glutathione contributes to JNK-mediated death receptor 5 upregulation and apoptosis induction by the novel synthetic triterpenoid methyl-2-cyano-3,12-dioxooleana-1,9-dien-28-oate (CDDO-Me)
Depletion of a Bose-Einstein condensate by laser-induced dipole-dipole interactions
Depletion of host cell riboflavin reduces Wolbachia levels in cultured mosquito cells
Depletion of key protein components of the RISC pathway impairs pre-ribosomal RNA processing
Deployment-Related Stress Disorder in German Soldiers: Utilization of Psychiatric and Psychotherapeutic Treatment
Depletion of Langerhans cells in human papillomavirus type 16-infected skin is associated with E6-mediated down regulation of E-cadherin
Depletion of carriers and negative differential conductivity in intrinsic graphene under a dc electric field
Depletion of Murine Intestinal Microbiota: Effects on Gut Mucosa and Epithelial Gene Expression
Depletion of alpha V integrins from osteosarcoma cells by intracellular antibody expression induces bone differentiation marker genes and suppresses gelatinase (MMP-2) synthesis
Deployment of a mobile RT-PCR laboratory molecular biology to deal with the A(H1N1) challenge in Kaboul
Depletion of luminal iron alters the gut microbiota and prevents Crohn's disease-like ileitis
Depletion of HDAC6 Enhances Cisplatin-Induced DNA Damage and Apoptosis in Non-Small Cell Lung Cancer Cells
Depletion of ATR selectively sensitizes ATM-deficient human mammary epithelial cells to ionizing radiation and DNA-damaging agents
Depletion of human micro-RNA miR-125b reveals that it is critical for the proliferation of differentiated cells but not for the downregulation of putative targets during differentiation
Depletion region effect of highly efficient hole conductor free CH3NH3PbI3 perovskite solar cells
Depletion of mboa-7, an enzyme that incorporates polyunsaturated fatty acids into phosphatidylinositol (PI), impairs PI 3-phosphate signaling in Caenorhabditis elegans
Depletion of 14-3-3 zeta elicits endoplasmic reticulum stress and cell death, and increases vulnerability to kainate-induced injury in mouse hippocampal cultures
Depleted Basaltic Lavas from the Proto-Iceland Plume, Central East Greenland
Deployment of new biotechnologies in plant breeding
Depletion of PAK1 enhances Ubiquitin-mediated Survivin degradation in pancreatic -cells
Depletion of tristetraprolin in breast cancer cells increases interleukin-16 expression and promotes tumor infiltration with monocytes/macrophages
Depletion of hemoglobin and carbonic anhydrase from erythrocyte cytosolic samples by preparative clear native electrophoresis
Depletion and contamination of national groundwater reserve - A challenge to safe drinking water supply
Depletion of RNA-binding protein RBM8A (Y14) causes cell cycle deficiency and apoptosis in human cells
Depletion of beta-catenin from mature hepatocytes of mice promotes expansion of hepatic progenitor cells and tumor development
Depletion of intrinsic expression of Interleukin-8 in prostate cancer cells causes cell cycle arrest, spontaneous apoptosis and increases the efficacy of chemotherapeutic drugs
Deployment and management of SDR cloud computing resources: problem definition and fundamental limits
Depletion of water-in-oil aggregates from poor solvents: Transition from weak aggregates towards reverse micelles
Depletion of serotonin in the basolateral amygdala elevates glutamate receptors and facilitates fear-potentiated startle
Deployment of a Carbon Isotope Ratiometer for the Monitoring of CO2 Sequestration Leakage
Depletion of Ly6G/C+ cells ameliorates delayed cerebral vasospasm in subarachnoid hemorrhage
Depletion of the protective aluminum hydroxide coating in TiO2-based sunscreens by swimming pool water ingredients
Depleted soil carbon and nitrogen pools beneath impervious surfaces
Deployment of response surface methodology to optimize recovery of dark fresh fig (Ficus carica L., var. Azenjar) total phenolic compounds and antioxidant activity
Depletion of neutrophil extracellular traps in vivo results in hypersusceptibility to polymicrobial sepsis in mice
Depletion of PINK1 affects mitochondrial metabolism, calcium homeostasis and energy maintenance
Deployment Strategies and Energy Efficiency of Cellular Networks
Depleted N-15 in hydrolysable-N of arctic soils and its implication for mycorrhizal fungi-plant interaction
Depletion of primary cilia in articular chondrocytes results in reduced Gli3 repressor to activator ratio, increased Hedgehog signaling, and symptoms of early osteoarthritis
Deployment Cycle Stressors and Post-Traumatic Stress Symptoms in Army National Guard Women: The Mediating Effect of Resilience
Depletion of mitochondrial DNA in fibroblast cultures from patients with POLG1 mutations is a consequence of catalytic mutations
Deployment-Related TBI, Persistent Postconcussive Symptoms, PTSD, and Depression in OEF/OIF Veterans
Depleted Parental Psychological Resources as Mediators of the Association of Income With Adherence and Metabolic Control
Deployment of assistive living technology in a nursing home environment: methods and lessons learned
Depletion of PCBM at the Cathode Interface in P3HT/PCBM Thin Films as Quantified via Neutron Reflectivity Measurements
Deployment Support for Sensor Networks in Indoor Climate Monitoring
Depletion of antibiotic targets has widely varying effects on growth
Depletion of T Regulatory Cells Promotes Natural Killer Cell-Mediated Cardiac Allograft Vasculopathy
Depletion of CD4(+) CD25(+) T Cells Exacerbates Experimental Autoimmune Encephalomyelitis Induce by Mouse, but Not Rat, Antigens
Depletion of CD4(+)CD25(+)CD127(lo) regulatory T cells does not increase allergen-driven T cell activation
Depletion of CD4(+) CD25(+) regulatory T cells inhibits local tumour growth in a mouse model of B cell lymphoma
Depletion of CD4(+) T cells abrogates post-peak decline of viremia in SIV-infected rhesus macaques
Depletion of plasma membrane PtdIns(4,5) P-2 reveals essential roles for phosphoinositides in flagellar biogenesis
Depletion of the ATPase NSF from Golgi membranes with hypo-S-nitrosylation of vasorelevant proteins in endothelial cells exposed to monocrotaline pyrrole
Depletion of Retinoic Acid Receptors Initiates a Novel Positive Feedback Mechanism that Promotes Teratogenic Increases in Retinoic Acid
Deployment of Secure Mobile Agents for Medical Information Systems
Depletion of substance P, a mechanism for inhibition of mouse scratching behavior by tacrolimus
Depletion of GR-1-Positive Cells Is Associated with Reduced Neutrophil Inflammation and Astrocyte Reactivity after Experimental Intracerebral Hemorrzhage
Depletion of gut commensal bacteria attenuates intestinal ischemia/reperfusion injury
Depletion of leaf-type ferredoxin-NADP plus oxidoreductase results in the permanent induction of photoprotective mechanisms in Arabidopsis chloroplasts
Depletion of the Cullin Cdc53p Induces Morphogenetic Changes in Candida albicans
Depletion of Human Histone H1 Variants Uncovers Specific Roles in Gene Expression and Cell Growth
Depletion of homeodomain-interacting protein kinase 3 impairs insulin secretion and glucose tolerance in mice
Depletion of reduction potential and key energy generation metabolic enzymes underlies tellurite toxicity in Deinococcus radiodurans
Depletion of Dendritic Cells Enhances Innate Anti-Bacterial Host Defense through Modulation of Phagocyte Homeostasis
Depletion of the type 1 IGF receptor delays repair of radiation-induced DNA double strand breaks
Depletion of tumor-associated macrophages slows the growth of chemically induced mouse lung adenocarcinomas
Deployment Experiences and Motor Vehicle Crashes Among US Service Members
Depletion of Cellular Pre-Replication Complex Factors Results in Increased Human Cytomegalovirus DNA Replication
Deployment of the Burkholderia glumae type III secretion system as an efficient tool for translocating pathogen effectors to monocot cells
Deployable auxetic shape memory alloy cellular antenna demonstrator: design, manufacturing and modal testing
Depletion of Regulatory T Cells Augments a Vaccine-Induced T Effector Cell Response against the Liver-Stage of Malaria but Fails to Increase Memory
Depletion of melamine and cyanuric acid in kidney of catfish Ictalurus punctatus and trout Oncorhynchus mykiss
Depletion of ribosomal protein L37 occurs in response to DNA damage and activates p53 through the L11/MDM2 pathway
Depletion of Cutaneous Macrophages and Dendritic Cells Promotes Growth of Basal Cell Carcinoma in Mice
Depletion and dysfunction of V gamma 2V delta 2 T cells in HIV disease: mechanisms, impacts and therapeutic implications (vol 10, pg 42, 2013)
Depletion and Capture: Revisiting "The Source of Water Derived from Wells''
Depletion of the nucleolar protein nucleostemin causes g1 cell cycle arrest via the p53 pathway
Depletion of Neuroguidin/CANu1 sensitizes human osteosarcoma U2OS cells to doxorubicin
Depletion of Passenger Leukocytes from Corneal Grafts: An Effective Means of Promoting Transplant Survival?
Depletion of gaseous polycyclic aromatic hydrocarbons by a forest canopy
Depletion potential between large spheres immersed in a multicomponent mixture of small spheres
Deployment of intrusion detection nodes to prevent wormhole attacks in Mobile Ad hoc Networks
Depletion of phosphatidylinositol 4,5-bisphosphate by activation of phospholipase C-coupled receptors causes slow inhibition but not desensitization of G protein-gated inward rectifier K+ current in atrial myocytes
Depletion of the ras association domain family 1, isoform A-associated novel microtubule-associated protein, C190RF5/MAP1S, causes mitotic abnormalities
Depletion of l-ascorbic acid alternating with its supplementation in the treatment of patients with acute myeloid leukemia or myelodysplastic syndromes
Depletion in LpA-I:A-II particles enhances HDL-mediated endothelial protection in familial LCAT deficiency
Deployable micro-traps to sequester motile bacteria
Depletion of follicular dendritic cells in tonsils collected from PMWS-affected pigs
Depletion of Gangliosides Enhances Articular Cartilage Repair in Mice
Depletion of Myeloid Cells Exacerbates Hepatitis and Induces an Aberrant Increase in Histone H3 in Mouse Serum
Depletion zones and intergranular corrosion of superaustenitic stainless steel Alloy 926
Deployable, shape memory carbon fibre composites without shape memory constituents
Depletion of mesospheric sodium during extended period of pulsating aurora
Deployment of stationary and dynamic charging infrastructure for electric vehicles along traffic corridors
Depletion of mitochondria in mammalian cells through enforced mitophagy
Depletion of Intense Fields
Deploying internal knowledge portals: Three major challenges
Depleted Monolithic Pixels (DMAPS) in a 150 nm technology: lab and beam results
Depletion of coagulation factor XII ameliorates brain pathology and cognitive impairment in Alzheimer disease mice
Deployment method of VM cluster based on graph theory for cloud resource management
Deploying a quantum annealing processor to detect tree cover in aerial imagery of California
Depletion of CD52-positive cells inhibits the development of central nervous system autoimmune disease, but deletes an immune-tolerance promoting CD8 T-cell population. Implications for secondary autoimmunity of alemtuzumab in multiple sclerosis
Depleted aldehyde dehydrogenase 1A1 (ALDH1A1) reverses cisplatin resistance of human lung adenocarcinoma cell A549/DDP
Depletion attraction of sheet-like ion aggregates in low-dielectric ionomer melts
Depletion and response of deep groundwater to climate-induced pumping variability
Depletion Rate of Hydrogen Peroxide from Sodium Perborate Bleaching Agent
Depletion of enteric bacteria diminishes leukocyte infiltration following doxorubicin-induced small intestinal damage in mice
Deploying Fourier Coefficients to Unravel Soybean Canopy Diversity
Deployment of vehicular networks in highways using 802.11p and IPv6 technologies
Depletion of microglia exacerbates postischemic inflammation and brain injury
Depletion of Epidermal Langerhans Cells in the Skin Lesions of Pellagra Patients
Depletion of Tcf3 and Lef1 maintains mouse embryonic stem cell self-renewal
Deploying WLAN service with OpenFlow technology
Deploying nEmesis: Preventing Foodborne Illness by Data Mining Social Media
Depleting Mycobacterium tuberculosis of the transcription termination factor Rho causes pervasive transcription and rapid death
Deploy-As-You-Go Wireless Relay Placement: An Optimal Sequential Decision Approach Using the Multi-Relay Channel Model
Depletion of NFBD1/MDC1 Induces Apoptosis in Nasopharyngeal Carcinoma Cells Through the p53-ROS-Mitochondrial Pathway
Depletion of circulating monocytes suppresses IL-17 and HMGB1 expression in mice with LPS-induced acute lung injury
Deployment optimization of multi-hop wireless networks based on substitution graph
Deployment of check-in nodes in complex networks
Depletion of SHANK2 inhibited the osteo/dentinogenic differentiation potentials of stem cells from apical papilla
Depletion of Neural Crest-Derived Cells Leads to Reduction in Plasma Noradrenaline and Alters B Lymphopoiesis
Depletion of NEDD9, a target gene of TGF-beta, inhibits the proliferation and invasion of ectopic endometriotic stromal cells
Depletion of p42.3 gene inhibits proliferation and invasion in melanoma cells
Depletion of TFAP2E attenuates adriamycin-mediated apoptosis in human neuroblastoma cells
Deployment of infrastructure configurations for large-scale CO2 capture in industrial zones: A case study for the Rotterdam Botlek area (part B)
Depletion-Induced Encapsulation by Dumbbell-Shaped Patchy Colloids Stabilize Microspheres against Aggregation
Deployment dynamics of tethered-net for space debris removal
Depletion of polycomb repressive complex 2 core component EED impairs fetal hematopoiesis
Deployment of Bistable Self-Deployable Tape Spring Booms Using a Gravity Offloading System
Depletion of adult neurogenesis using the chemotherapy drug temozolomide in mice induces behavioural and biological changes relevant to depression
Depletion of AADC activity in caudate nucleus and putamen of Parkinson's disease patients; implications for ongoing AAV2-AADC gene therapy trial
Depletion of cardiac catecholamine stores impairs cardiac norepinephrine re-uptake by downregulation of the norepinephrine transporter
Depletion of Gut Microbiota Protects against Renal Ischemia-Reperfusion Injury
Depletion and double layer forces acting between charged particles in solutions of like-charged polyelectrolytes and monovalent salts
Deployment of a Tensegrity Footbridge
Depletion of PHD3 protects heart from ischemia/reperfusion injury by inhibiting cardiomyocyte apoptosis
Depletion force induced collective motion of microtubules driven by kinesin
Depletion of SIRT6 causes cellular senescence, DNA damage, and telomere dysfunction in human chondrocytes
Depletion of macrophages in CD11b diphtheria toxin receptor mice induces brain inflammation and enhances inflammatory signaling during traumatic brain injury
Deployment of a retinal determination gene network drives directed cell migration in the sea urchin embryo
Depletion forces in single phase and multi-phase complex fluids
Depletion of M. tuberculosis GlmU from Infected Murine Lungs Effects the Clearance of the Pathogen
Depleted cores, multicomponent fits, and structural parameter relations for luminous early-type galaxies (vol 444, pg 270, 2014)
Depleted Mantle-sourced CFB Magmatism in the Jurassic Africa-Antarctica Rift: Petrology and Ar-40/Ar-39 and U/Pb Chronology of the Vestfjella Dyke Swarm, Dronning Maud Land, Antarctica
Depletion/protection of beta-carotene in estimating antioxidant activity by beta-carotene bleaching assay
Depletion of mucin in mucin-producing human gastrointestinal carcinoma: Results from in vitro and in vivo studies with bromelain and N-acetylcysteine
Depletion interaction between spheres of unequal size and demixing in binary mixtures of colloids
Depletion of tropospheric ozone associated with mineral dust outbreaks
Depletion-mediated piezoelectric AlGaN/GaN resonators
Deployment Strategies in the Wireless Sensor Networks: Systematic Literature Review, Classification, and Current Trends
Depletion of the human N-terminal acetyltransferase hNaa30 disrupts Golgi integrity and ARFRP1 localization
Deploying Constraint Programming for Testing ABB's Painting Robots
Depletion of signal recognition particle 72kDa increases radiosensitivity
Deployment Issues and Performance Challenges for 5G, Part I
Depletion of CD20 B cells fails to inhibit relapsing mouse experimental autoimmune encephalomyelitis
Depletion of Tumor-Associated Macrophages with a CSF-1R Kinase Inhibitor Enhances Antitumor Immunity and Survival Induced by DC Immunotherapy
Deployment and Alcohol Use in a Military Cohort: Use of Combined Methods to Account for Exposure-Related Covariates and Heterogeneous Response to Exposure
Depletion of ozone and reservoir species of chlorine and nitrogen oxide in the lower Antarctic polar vortex measured from aircraft
Deployable wing model considering structural flexibility and aerodynamic unsteadiness for deployment system design
Depletion of p21-activated kinase 1 up-regulates the immune system of APC(Delta 14/+) mice and inhibits intestinal tumorigenesis
Depletion-Resistant CD4 T Cells Enhance Thymopoiesis During Lymphopenia
Depletion of the Third Complement Component Ameliorates Age-Dependent Oxidative Stress and Positively Modulates Autophagic Activity in Aged Retinas in a Mouse Model
Deployment analysis for space cable net structures with varying topologies and parameters
Depletion of runt- related transcription factor 2 (RUNX2) enhances SAHA sensitivity of p53-mutated pancreatic cancer cells through the regulation of mutant p53 and TAp63
Deploying dengue-suppressing Wolbachia: Robust models predict slow but effective spatial spread in Aedes aegypti
Deployable Camera (DCAM3) System for Observation of Hayabusa2 Impact Experiment
Deployment and use of mobile phone technology for real-time reporting of fever cases and malaria treatment failure in areas of declining malaria transmission in Muheza district north-eastern Tanzania
Depletion of TM6SF2 disturbs membrane lipid composition and dynamics in HuH7 hepatoma cells
Depletion of abundant human serum proteins by per se imprinted cryogels based on sample heterogeneity
Deployment of underground coal gasification in India
Depletion of ATP and glucose in advanced human atherosclerotic plaques
Deployment Dynamics of Large-Scale Flexible Solar Arrays with Deployable Mast
Depletion of Jmjd1c impairs adipogenesis in murine 3T3-L1 cells
Depletion of CTCF in Breast Cancer Cells Selectively Induces Cancer Cell Death via p53
Depleting high-abundant and enriching low-abundant proteins in human serum: An evaluation of sample preparation methods using magnetic nanoparticle, chemical depletion and immunoaffinity techniques
Depletion of MHC class II invariant chain peptide or gamma-delta T-cells ameliorates experimental preeclampsia
Depletion of nerve growth factor in chemotherapy-induced peripheral neuropathy associated with hematologic malignancies
Depletion of club cells attenuates bleomycin-induced lung injury and fibrosis in mice
Depletion of Progranulin Reduces GluN2B-Containing NMDA Receptor Density, Tau Phosphorylation, and Dendritic Arborization in Mouse Primary Cortical Neurons
Deployment-Related Traumatic Events and Suicidal Behaviours in a Nationally Representative Sample of Canadian Armed Forces Personnel
Depletion of somatic mutations in splicing-associated sequences in cancer genomes
Deployment Length, Inflammatory Markers, and Ambulatory Blood Pressure in Military Couples
Deployment of Mobile EEG Technology in an Art Museum Setting: Evaluation of Signal Quality and Usability
Depletion of regulatory T cells by anti-ICOS antibody enhances anti-tumor immunity of tumor cell vaccine in prostate cancer
Depletion of 5 hydroxy-triptamine (5-HT) affects the antidepressant-like effect of neuronal nitric oxide synthase inhibitor in mice
Depletion of the mitotic kinase Cdc5p in Candida albicans results in the formation of elongated buds that switch to the hyphal fate over time in a Ume6p and Hgc1p-dependent manner
Depletion of adult neurogenesis exacerbates cognitive deficits in Alzheimer's disease by compromising hippocampal inhibition
Depleted nitric oxide and prostaglandin E-2 levels are correlated with endothelial dysfunction in beta-thalassemia/HbE patients
Depletion of Cr(VI) from aqueous solution by heat dried biomass of a newly isolated fungus Arthrinium malaysianum: A mechanistic approach
Depletion, moral identity, and unethical behavior: Why people behave unethically after self-control exertion
Deployment of Wireless Intrusion Detection Systems to Provide the Most Possible Coverage in Wireless Sensor Networks Without Infrastructures
Depletion of ubiA prenyltransferase domain containing 1 expression promotes angiotensin II-induced hypertrophic response in AC16 human myocardial cells via modulating the expression levels of coenzyme Q10 and endothelial nitric oxide synthase
Deployment/retraction of the rotating Hub-Spoke Tethered Formation System
Depletion-induced stress change in a hydraulically bounded reservoir with multistage fractured horizontal wells
Deployment strategies for crowdsourcing text creation
Depletion of the triggering receptor expressed on myeloid cells 2 inhibits progression of renal cell carcinoma via regulating related protein expression and PTEN-PI3K/Akt pathway
Depletion of JMJD5 sensitizes tumor cells to microtubule-destabilizing agents by altering microtubule stability
Depletion of STYK1 inhibits intrahepatic cholangiocarcinoma development both in vitro and in vivo
Depletion of human serum albumin in embryo culture media for in vitro fertilization using monolithic columns with immobilized antibodies
Depletion of FOXM1 via MET Targeting Underlies Establishment of a DNA Damage-Induced Senescence Program in Gastric Cancer
Depletion of SAG/RBX2 E3 ubiquitin ligase suppresses prostate tumorigenesis via inactivation of the PI3K/AKT/mTOR axis
Depletion of tRNA-halves enables effective small RNA sequencing of low-input murine serum samples
Depletion forces on circular and elliptical obstacles induced by active matter
Depletion of the Human Ion Channel TRPM2 in Neuroblastoma Demonstrates Its Key Role in Cell Survival through Modulation of Mitochondrial Reactive Oxygen Species and Bioenergetics
Depletion-Mode GaN HEMT Q-Spoil Switches for MRI Coils
Depleting dietary valine permits nonmyeloablative mouse hematopoietic stem cell transplantation
Deployment and Calibration Considerations for Large-Scale Regional Dynamic Traffic Assignment: Case Study for Sydney, Australia
Depletion of Shine-Dalgarno Sequences Within Bacterial Coding Regions Is Expression Dependent
Deployment of Attention on Handshakes
Depletion of Alveolar Macrophages Attenuates Hypoxic Pulmonary Hypertension but not Hypoxia-Induced Increase in Serum Concentration of MCP-1
Deployment of a Reverse Transcription Loop-Mediated Isothermal Amplification Test for Ebola Virus Surveillance in Remote Areas in Guinea
Depletion of vacuolar protein sorting-associated protein 35 is associated with increased lysosomal degradation of aquaporin-2
Deployment methods of visible light communication lights for energy efficient buildings
Depletion of complement system immunity in patients with myocardial infarction
Deployment of a Mixed-Mode Data Collection Strategy Does Not Reduce Nonresponse Bias in a General Population Health Survey
Depletion of Tregs for adoptive T-cell therapy using CD44 and CD137 as selection markers
Depletion of acidic phospholipids influences chromosomal replication in Escherichia coli
Deployment Analysis of Pneumatic Envelopes Including Ascending Balloons and Inflatable Aerodynamic Decelerators
Depleting Components of the THO Complex Causes Increased Telomere Length by Reducing the Expression of the Telomere-Associated Protein Rif1p
Deployment Experiences of Army Nurse Practitioners
Depletion of Resident Macrophages Does Not Alter Sensory Regeneration in the Avian Cochlea
Depletion of Lamina Propria Innate Lymphoid Cells in Simian Immunodeficiency Virus Infection
Depletion of B-Cells With Rituximab Improves Endothelial Function and Reduces Inflammation Among Individuals With Rheumatoid Arthritis
Depletion-Controlled Starvation of a Diffusing Forager
Depletion of Trypanosome CTR9 Leads to Gene Expression Defects
Deployment of Remote Advanced Electrocardiography for Improved Cardiovascular Risk Assessment in Career Firefighters
Depletion of Extracellular Ca2+ Prompts Astroglia to Moderate Synaptic Network Activity
Depleted iron stores without anaemia early in pregnancy carries increased risk of lower birthweight even when supplemented daily with moderate iron
Deployment-Associated Functional Gastrointestinal Disorders: Do We Know the Etiology?
Depleted dissolved organic carbon and distinct bacterial communities in the water column of a rapid-flushing coral reef ecosystem
Deployment of an unreliable robotic sensor network for spatial estimation
Depletion-Mediated Potentials and Phase Behavior for Micelles, Macromolecules, Nanoparticles, and Hydrogel Particles
Depletion of T regulatory cells through selection of CD127-positive cells results in a population enriched in memory T cells: implications for anti-tumor cell therapy
Depletion-induced biaxial nematic states of boardlike particles
Deployment and Mental Health Diagnoses Among Children of US Army Personnel
Depletion of 14-3-3 Protein Exacerbates Cardiac Oxidative Stress, Inflammation and Remodeling Process via Modulation of MAPK/NF-kappa B Signaling Pathways after Streptozotocin-induced Diabetes Mellitus
Depletion of tissue plasminogen activator attenuates lung ischemia-reperfusion injury via inhibition of neutrophil extravasation
Depletion of DSS1 protein disables homologous recombinational repair in human cells
Depletion of glutathione does not affect electron transport chain complex activity in brain mitochondria: Implications for Parkinson disease and postmortem studies
Deploying Cryptography in Internet-Scale Systems: A Case Study on DNSSEC
Depletion of the In2O3(001) and (111) surface electron accumulation by an oxygen plasma surface treatment
Depletion of CG-Specific Methylation in Mycoplasma hyorhinis Genomic DNA after Host Cell Invasion
Depletion of radio-resistant regulatory T cells enhances antitumor immunity during recovery from lymphopenia
Depletion of Regulatory T Cells in a Mouse Experimental Glioma Model through Anti-CD25 Treatment Results in the Infiltration of Non-Immunosuppressive Myeloid Cells in the Brain
Depletion of mitochondrial reactive oxygen species downregulates epithelial-to-mesenchymal transition in cervical cancer cells
Depletion of Beta Cell Intranuclear Rodlets in Human Type II Diabetes
Deployment technique that takes advantage of the characteristics of Enterprise VRD2: an in vitro study
Depletion of TDP-43 decreases fibril and plaque beta-amyloid and exacerbates neurodegeneration in an Alzheimer%26apos;s mouse model
Deployable Molecular Detection of Arboviruses in the Australian Outback
Depletion profiles for dilute solutions of linear chains, stars and H-branched molecules by self-consistent field calculations and Monte Carlo simulations
Depleted genetic variation of the European ground squirrel in Central Europe in both microsatellites and the major histocompatibility complex gene: implications for conservation
Depletion of predatory fish by fishing in a temperate reef ecosystem leads to indirect effects on prey, but not to lower trophic levels
Deployment of high-speed broadband infrastructures during the economic crisis. The case of Xarxa Oberta
Deploying mutation impact text-mining software with the SADI Semantic Web Services framework
Depletion of eIF4G from yeast cells narrows the range of translational efficiencies genome-wide
Deployment of a Tethered-Balloon System for Microphysics and Radiative Measurements in Mixed-Phase Clouds at Ny-Alesund and South Pole
Depletion of cellular poly (A) binding protein prevents protein synthesis and leads to apoptosis in HeLa cells
Depleted marine fish stocks and ecosystem-based management: on the road to recovery, we need to be precautionary
Deployment of either a whole or dissected wild nuclear genome into the wheat gene pool meets the breeding challenges posed by the sustainable farming systems
Deployed Edwards Sapien prosthesis is always deformed
Depletion of the non-coding regulatory 6S RNA in E. coli causes a surprising reduction in the expression of the translation machinery
Deployment and the Probability of Spousal Aggression by US Army Soldiers
Depletion of ideal polymer chains near a spherical colloid particle beyond the Dirichlet boundary conditions
Depletion of antigen-presenting cells by clodronate liposomes reverses the psoriatic skin phenotype in KC-Tie2 mice
Depletion of CD8 alpha cells from tissues of Atlantic salmon during the early stages of infection with high or low virulent strains of infectious salmon anaemia virus (ISAV)
Depletion of CD25(+) T cells from hematopoietic stem cell grafts increases posttransplantation vaccine-induced immunity to neuroblastoma
Deployed Provider Satisfaction With Infectious Disease Teleconsulation
Deployment of a dense hybrid wireless sensing system for bridge assessment
Depletion with PC61 mAb before Toxoplasma gondii infection eliminates mainly Tregs in BALB/c mice, but activated cells in C57BL/6J mice
Deployment and control of cable-driven flexible solar arrays
Depletion of astrocyte elevated gene-1 suppresses tumorigenesis through inhibition of Akt activity in bladder cancer cells
Depletion of Endothelial or Smooth Muscle Cell-Specific Angiotensin II Type 1a Receptors Does Not Influence Aortic Aneurysms or Atherosclerosis in LDL Receptor Deficient Mice
Depletion of enteric gonadotropin-releasing hormone is found in a few patients suffering from severe gastrointestinal dysmotility
Depleted brittle mica structure determination in Ba-phlogopite glass-ceramics
Depletion of alloreactive T cells for tolerance induction in a recipient of kidney and hematopoietic stem cell transplantations
Depletion of CD4 T lymphocytes at the time of infection with M. avium subsp paratuberculosis does not accelerate disease progression
Deployment Risk Factors and Postdeployment Health Profiles Associated With Traumatic Brain Injury in Heavy Drinking Veterans
Deploying Dissolved Oxygen Sensors On Crab Pots for Ocean Observations Researchers Partner With Fishermen to Use Auto-Reporting Bottom-Positioned Sensors for Ocean Research
Depletion mechanism of antioxidants in MDPE-clay nanocomposites under thermal aging
Deployment of Run-Time Reconfigurable Hardware Coprocessors Into Compute-Intensive Embedded Applications
Deployment Strategies for Protected Long-Reach PON
Deploying LiveWN Grids in the Greek School Network
Depletion of Tregs in vivo: a promising approach to enhance antitumor immunity without autoimmunity
Depletion of CSN5 inhibits Ras-mediated tumorigenesis by inducing premature senescence in p53-null cells
Deployment and redeployment of Ambulance Vehicles in the management of a Prehospital Emergency Service
Depletion of mitochondrial fission factor DRP1 causes increased apoptosis in human colon cancer cells
Depletion of the %26quot;gamma-type carbonic anhydrase-like%26quot; subunits of complex I affects central mitochondrial metabolism in Arabidopsis thaliana
Depletion Layer Formation in Alkali Silicate Glasses by Electro-Thermal Poling
Depletion of three combined THOC5 mRNA export protein target genes synergistically induces human hepatocellular carcinoma cell death
Depletion of Mitofusin-2 Causes Mitochondrial Damage in Cisplatin-Induced Neuropathy
Depletion of SIRT6 enzymatic activity increases acute myeloid leukemia cells%26apos; vulnerability to DNA-damaging agents
Depletion of Neutrophils Promotes the Resolution of Pulmonary Inflammation and Fibrosis in Mice Infected with Paracoccidioides brasiliensis
Depleted depletion drives polymer swelling in poor solvent mixtures
Depletion of extracellular calcium increases cadmium toxicity in barley root tip via enhanced Cd uptake-mediated superoxide generation and cell death
Depletion of oxaloacetate decarboxylase FAHD1 inhibits mitochondrial electron transport and induces cellular senescence in human endothelial cells
Depletion of HDL3 high density lipoprotein and altered functionality of HDL2 in blood from sickle cell patients
Depletion of NEAT1 lncRNA attenuates nucleolar stress by releasing sequestered P54nrb and PSF to facilitate c-Myc translation
Depleted tumor suppressor miR-107 in plasma relates to tumor progression and is a novel therapeutic target in pancreatic cancer
Depletion effect of polycrystalline-silicon gate electrode by phosphorus deactivation
Deployment of the CMS Tracker AMC as backend for the CMS pixel detector
Depletion of the Fragile X Mental Retardation Protein in Embryonic Stem Cells Alters the Kinetics of Neurogenesis
Depleting extracellular vesicles from fetal bovine serum alters proliferation and differentiation of skeletal muscle cells in vitro
Deploying Low-carbon Technologies in Developing Countries: A view from India's buildings sector
Deployment Scheme for Enhancing Coverage and Connectivity in Underwater Acoustic Sensor Networks
Depletion forces induce visco-elasto-capillary thinning of non-Brownian suspensions
Depletion of Pentachlorophenol Contamination in an Agricultural Soil Treated with Byssochlamys nivea, Scopulariopsis brumptii and Urban Waste Compost: A Laboratory Microcosm Study
Depletion of pentachlorophenol in soil microcosms with Byssochlamys nivea and Scopulariopsis brumptii as detoxification agents
Deployment of the French Military Field Laboratory Dedicated to Ebola Virus Infected Patients in Guinea, January-July 2015
Deploying temporary networks for upscaling of sparse network stations
Deploying Delivery as Critical Method: Neo-Burlesque's Embodied Rhetoric
Depletion of CD11c(+) Cells Does Not Influence Outcomes in Mice Subjected to Transient Middle Cerebral Artery Occlusion
Depletion of histone N-terminal-acetyltransferase Naa40 induces p53-independent apoptosis in colorectal cancer cells via the mitochondrial pathway
Depletion-driven crystallization of cubic colloids sedimented on a surface
Deployed Innovative Applications of Artificial Intelligence 2012
Deployment and Validation of a Smart System for Screening of Language Disorders in Primary Care
Depletion of Penicillin G Residues in Heavy Sows after Intramuscular Injection. Part II: Application of Kidney Inhibition Swab Tests
Depletion of Penicillin G Residues in Heavy Sows after Intramuscular Injection. Part I: Tissue Residue Depletion
Deployment Versus Data Retrieval Costs for Caches in the Plane
Deployment Challenges for Large-Scale MIMO Communications
Deployment-Related Risk Factors of Low Back Pain: A Study Among Danish Soldiers Deployed to Iraq Response
Deployment to war and mental health consequences
Depletion of the non-renewable natural resource reserves in copper, zinc, lead and aluminium production
Deployment of disconnect switches on main feeder
Deploying Large-Scale Datasets on-Demand in the Cloud: Treats and Tricks on Data Distribution
Depletion of HDAC1, 7 and 8 by Histone Deacetylase Inhibition Confers Elimination of Pancreatic Cancer Stem Cells in Combination with Gemcitabine
Depletion or expansion? Understanding the effects of support policy use on employee work and family outcomes
Deploying Throwboxes to Enhance Fault-Tolerance Performance in Delay Tolerant Networks
Depletion of DNMT1 in differentiated human cells highlights key classes of sensitive genes and an interplay with polycomb repression
Depletion of S100A4(+) stromal cells does not prevent HCC development but reduces the stem cell-like phenotype of the tumors
Depletion Mapping and Constrained Optimization to Support Managing Groundwater Extraction
Depletion of abscisic acid levels in roots of flooded Carrizo citrange (Poncirus trifoliata L. Raf. x Citrus sinensis L. Osb.) plants is a stress-specific response associated to the differential expression of PYR/PYL/RCAR receptors
Deployment dynamics modeling and analysis for mesh reflector antennas considering the motion feasibility
Depletion of CpG Dinucleotides in Papillomaviruses and Polyomaviruses: A Role for Divergent Evolutionary Pressures
Depletion Potential and Adsorption Stability of a Colloidal Particle in Confined Solvent Mixture
Deployment-based lifetime optimization for linear wireless sensor networks considering both retransmission and discrete power control
Deployment of precise and robust sensors on board ISS-for scientific experiments and for operation of the station
Depletion of microglia augments the dopaminergic neurotoxicity of MPTP
Deployment of an open sensorized platform in a smart city context
Deployment and utilization of plug-in electric vehicles in round-trip carsharing systems
Depletion of membrane cholesterol compromised caspase-8 imparts in autophagy induction and inhibition of cell migration in cancer cells
Depletion of Mageb16 induces differentiation of pluripotent stem cells predominantly into mesodermal derivatives
Depletion of gamma-glutamylcyclotransferase in cancer cells induces autophagy followed by cellular senescence
Depletion zones and crystallography on pinched spheres
Deployment dynamics of flexible solar arrays considering frictional joint
Deployment Simulation Using Absolute Nodal Coordinate Plate Element for Next-Generation Aerospace Structures
Depletion of nuclear import protein karyopherin alpha 7 (KPNA7) induces mitotic defects and deformation of nuclei in cancer cells
Depletion-of-Battery Attack: Specificity, Modelling and Analysis
Deploying wireless sensor networks-based smart grid for smart meters monitoring and control
Depletion of (+) T cells for a haploidentical hematopoietic stem cell transplantation in children
Depletion interaction between colloids mediated by an athermal polymer blend
Deployable mechanisms constructed by connecting orthogonal Bricard linkages, 8R or 10R single-loop linkages using S joints
Deployment optimization for 3D industrial wireless sensor networks based on particle swarm optimizers with distributed parallelism
Deployment of Fault Indicator in Distribution Networks: A MIP-Based Approach
Deploying Fixed Wing Unoccupied Aerial Systems (UAS) for Coastal Morphology Assessment and Management
Deployment of a Hybrid Broadcast-Internet Multi-Device Service for a Live TV Programme
Deploying C-RAN in Cellular Radio Networks: An Efficient Way to Meet Future Traffic Demands
Depletion study, withdrawal period calculation and bioaccumulation of sulfamethazine in tilapia (Oreochromis niloticus) treated with medicated feed
Depleting Trim28 in adult mice is well tolerated and reduces levels of alpha-synuclein and tau
Depletion of Hypocretin/Orexin Neurons Increases Cell Proliferation in the Adult Subventricular Zone
Depletion of reactive oxygen species induced by chlorogenic acid triggers apoptosis-like death in Escherichia coil
Deployable Techniques for Small Satellites
Depletion of Maternal Cyclin B3 Contributes to Zygotic Genome Activation in the Ciona Embryo
Depletion of the Insulator Protein CTCF Results in Herpes Simplex Virus 1 Reactivation In Vivo
Deployable lenticular stiffeners for origami-inspired mechanisms
Depletion of potassium and sodium in mantles of Mars, Moon and Vesta by core formation
Deployment of a Sapien 3 Transcatheter Valve for Severe Tricuspid Insufficiency in a Patient With Non-obstructive Cor Triatriatum Dexter
Deploying digital health data to optimize influenza surveillance at national and local scales
Depletion of Cholesterol Reduces ENaC Activity by Decreasing Phosphatidylinositol-4,5-Bisphosphate in Microvilli
Deployment and Performance of an X-Band Dual-Polarization Radar during the Southern China Monsoon Rainfall Experiment
Deployment and Preterm Birth Among US Army Soldiers
Depletion of the Receptor-Interacting Protein Kinase 3 (RIP3) Decreases Photoreceptor Cell Death During the Early Stages of Ocular Murine Cytomegalovirus Infection
Deployment and validation of out of band IP traceback approach (OBTA) in wireless mesh network
Depletion of aquaporin 1 decreased ADAMTS-4 expression in human chondrocytes
Deploying a Recall Mitigation Framework for Systems Engineering
Depletion of Regulatory T Cells in Visceral Adipose Tissues Contributes to Insulin Resistance in Hashimoto's Thyroiditis
Depletion of Airway Submucosal Glands and TP63(+)KRT5(+) Basal Cells in Obliterative Bronchiolitis
Depletion of mRNA export regulator DBP5/DDX19, GLE1 or IPPK that is a key enzyme for the production of IP6, resulting in differentially altered cytoplasmic mRNA expression and specific cell defect
Depletion of Heavy Nitrogen in the Cold Gas of Star-forming Regions
Deployment of multistatic radar system using multi-objective particle swarm optimisation
Depletion of biotin using streptavidin-coated microparticles: a validated solution to the problem of biotin interference in streptavidin-biotin immunoassays
Depletion of D3 dopamine receptor affects methamphetamine-induced expression patterns of Pde4b and Atf3
Deployment of a touchless ultraviolet light robot for terminal room disinfection: The importance of audit and feedback
Deployable polyhedron mechanisms constructed by connecting spatial single-loop linkages of different types and/or in different sizes using S joints
Depleted Uranium and Human Health
Depletion of the extracellular-signal regulated kinase 8 homolog in Trypanosoma brucei in vivo reduces its virulence in a mouse target validation study
Depletion-mode quantum dots in intrinsic silicon
Depletion of tylosin residues in feathers, muscle and liver from broiler chickens after completion of antimicrobial therapy
Depletion of Gprc5a Promotes Development of Diabetic Nephropathy
Depletion of transglutaminase 2 in neurons alters expression of extracellular matrix and signal transduction genes and compromises cell viability
Depleted polymorphonuclear leukocytes in human metastatic liver reflect an altered immune microenvironment associated with recurrent metastasis
Depletion of N-15 in the center of L1544: Early transition from atomic to molecular nitrogen?
Depletion of HOXA5 inhibits the osteogenic differentiation and proliferation potential of stem cells from the apical papilla
Deployment analysis of deployable antennas considering cable net and truss flexibility
Depletion system compression method with treatment of decay heat
Deployment strategies for planar multi-tethered satellite formation
Deployment of a charging network based on a cost-benefit model and the CFSFDP algorithm
Deploying charging nodes in wireless rechargeable sensor networks based on improved firefly algorithm
Depletion of FoxP3+ Tregs improves control of larval Echinococcus multilocularis infection by promoting co-stimulation and Th1/17 immunity
Deployment Algorithms for UAV Airborne Networks towards On-demand Coverage
Deployment of carboxymethyl cellulose sheets to prevent esophageal stricture after full circumferential endoscopic submucosal dissection: A porcine model
Deployment and Dimensioning of Fog Computing-Based Internet of Vehicle Infrastructure for Autonomous Driving
Depleting ovarian cancer stem cells with calcitriol
Deployment Strategies for Service Innovation
Deployment optimization for camera network coupling with geographic environment
Deployment Of Robust Security Scheme In SDN Based 5G Network Over NFV Enabled Cloud Environment
Deploying Edge Computing Nodes for Large-scale IoT: A Diversity Aware Approach
Deployment of data-mining short and medium-term horizon cooling load forecasting models for building energy optimization and management
Deploying Fog Computing in Industrial Internet of Things and Industry 4.0
Depleted fully monolithic active CMOS pixel sensors (DMAPS) in high resistivity 150 nm technology for LHC
Deploying Electric Vehicle Charging Stations Considering Time Cost and Existing Infrastructure
Depletion of SIRT7 sensitizes human non-small cell lung cancer cells to gemcitabine therapy by inhibiting autophagy
Depleting the carboxy-terminus of human Wnt5a attenuates collagen-induced arthritis in DBA/1 mice
Deployment of Foldable Tensegrity-Membrane Systems via Transition Between Tensegrity Configurations and Tensegrity-Membrane Configurations
Depletion of double-layer coated nano-TiO2 and generation of reactive oxygen species in the presence of ethanol under simulated solar irradiation
Depletion of β3-adrenergic receptor induces left ventricular diastolic dysfunction via potential regulation of energy metabolism and cardiac contraction
Deployable Linear-to-Circular Polarizer Using PDMS Based on Unloaded and Loaded Circular FSS Arrays for Pico-Satellites
Deploying Public Charging Stations for Electric Taxis: A Charging Demand Simulation Embedded Approach
Depletion-mode Ga2O3 metal-oxide-semiconductor field-effect transistors on β-Ga2O3 (010) substrates and temperature dependence of their device characteristics
depmixS4: An R Package for Hidden Markov Models
Deposition characteristics of Al-12Si alloy coating fabricated by cold spraying with relatively large powder particles
Deposition characteristics of titanium coating in cold spraying
Deposition of Prussian blue on nanoporous gold film electrode and its electrocatalytic reduction of H2O2
Deposition of diamond-like carbon and analysis of ion energy in CH4 or CH4+Ar dielectric barrier discharge plasma
Deposition mechanism of nano-structured single-layered C(36) film on a diamond (100) crystal plane
Deposition Kinetics of Bacteriophage MS2 on a Silica Surface Coated with Natural Organic Matter in a Radial Stagnation Point Flow Cell
Deposition kinetics of zinc oxide nanoparticles on natural organic matter coated silica surfaces
Deposition Kinetics of Extracellular Polymeric Substances (EPS) on Silica in Monovalent and Divalent Salts
Deposition of well-defined fluoropolymer nanospheres on PET substrate by plasma polymerization of heptadecafluorodecyl acrylate and their potential application as a protective layer
Deposition of nanostructured fluoropolymer films on silicon substrates via plasma polymerization of allylpentafluorobenzene
Depositional and geotechnical properties of marine clays in Lianyungang, China
Deposition of nano-diamond-like carbon films by an atmospheric pressure plasma gun and diagnostic by optical emission spectrum on the process
Deposition of the fractal-like gold particles onto electrospun polymethylmethacrylate fibrous mats and their application in surface-enhanced Raman scattering
Deposition of a molten layer of high melting point material: substrate melting and resolidification
Depolarized radar return for breaking wave measurement and hurricane wind retrieval
Deposition of gold nanoparticles onto poly (DL-lactic acid) microbubbles using cetyltriethylammnonium bromide as a surface modification agent
Deposition and characterization of Si(x)C(1-x)/Al(2)O(3) coatings by magnetron sputtering for nuclear fusion applications
Deposition of diamond-like carbon films on the inner surface of narrow stainless steel tubes
Deposition of Pyrolytic Carbon using Ethanol as Precursor in Chemical Vapor Infiltration
Deposition mechanism for chemical vapor deposition of zirconium carbide coatings
Deposition of organized surfactant films on solid substrates
Deposition of aluminium alloy Al12Si by cold spraying
Deposition of dinitrogen pentoxide, N2O5, to the snowpack at high latitudes
Depositional and provenance record of the Paleogene transition from foreland to hinterland basin evolution during Andean orogenesis, northern Middle Magdalena Valley Basin, Colombia
Depositing ZnS shell around ZnSe core nanocrystals in aqueous media via direct thermal treatment
Depositional systems and sequence architecture of the Oligocene Dongying Formation, Liaozhong depression, Bohai Bay Basin, northeast China
Deposition temperature effects on tungsten single-crystal layer by chemical vapor transport
Depositing ZnO nanoparticles onto graphene in a polyol system
Depolymerization of Alginates by Hydrogen Peroxide/Ultrasonic Irradiation
Deposition Mechanisms of Thick Lanthanum Zirconate Coatings by Spray Pyrolysis
Deposition and properties of highly C-oriented GaN films on diamond substrates
Deposition of diamond/beta-SiC/cobalt suicide composite interlayers to improve adhesion of diamond coating on WC-Co substrates by DC-Plasma Assisted HFCVD
Deposition and Properties of CrNx films by High Power Pulsed Unbalanced Magnetron Sputtering
Depolarization Induces NR2A Tyrosine Phosphorylation and Neuronal Apoptosis
Depositional environment of terrestrial petroleum source rocks and geochemical indicators in the Songliao Basin
Deposition and electrical characteristics of S-doped boron nitride thin films
Deposition of amorphous hydrogenated carbon films on Si and PMMA by pulsed direct-current plasma CVD
Deposition of rare earth elements from a wet-process phosphoric acid by fluorine compounds
Deposition temperature effect of RF magnetron sputtered molybdenum oxide films on the power conversion efficiency of bulk-heterojunction solar cells
Deposition of Ag nanostructures on TiO2 thin films by RF magnetron sputtering (vol 256, pg 7096, 2010)
Depolarization of white light generated by femtosecond laser pulse in KDP crystals
Deposition of PEDOT: PSS Nanoparticles as a Conductive Microlayer Anode in OLEDs Device by Desktop Inkjet Printer
Deposition of Superconducting Niobium Coatings on Titanium from Molten Salts
Depositional History of the Chhattisgarh Basin, Central India: Constraints from New SHRIMP Zircon Ages: A Discussion
Deposition and Nanotribological Characterization of Sub-100-nm Thick Protective Ti-Based Coatings for Miniature Applications
Deposition of PZT thin film onto copper-coated polymer films by mean of pulsed-DC and RF-reactive sputtering
Deposition of Protective Coatings from Aqueous Solutions of Silicates of Tertiary Ammonium Bases
Depolymerisation of oligolactic acid: Simulation and pilot plant trial
Deposition of aerosol nanoparticles on filters coated with layer of carbon nanotubes
Deposition of thin titanium-copper films with antimicrobial effect by advanced magnetron sputtering methods
Deposition of La2Zr2O7 (LZO) films on NiW substrates by chemical solution deposition process
Deposition of nanocrystalline diamond films on temperature sensitive substrates for infrared reflectance spectroscopy
Deposition, characterization and performance evaluation of ceramic coatings on metallic substrates for supercritical water-cooled reactors
Depositon of ZnO on Polyacrylonitrile Fiber by Thermal Solvent Coating
Deposition of colored inorganic coating layers on lamellar sericite surface and the pigmentary performances
Deposition and growth kinetics studies of thin zirconium dioxide films by UVILS-CVD
Deposition patterns in bulk precipitation and throughfall in a subtropical mixed forest in central-south China
Depolarization of backscattered linearly polarized light from ZnO thin film
Deposition of amorphous fluorosilane thin film on silicon surface: Atomic simulation
Deposition of in situ surface structured DLC-coatings
Deposition of palladium nanodots of controlled size and density onto surface-modified SiO2 particles by an atmospheric pressure CVS/MOCVD process
Deposition of potential Y2-xBixO3 buffer layers for YBCO coated conductor
Depolymerization of crop stalks
Deposition of plasma-polymerized 1-cyanoisoquinoline thin films and their dielectric properties
Depositional process and evolution of Luanping Early Cretaceous basin in the Yanshan structural belt.
Deposition and degradation of a volatile-rich layer in Utopia Planitia and implications for climate history on Mars
Depolymerization and de-N-acetylation of chitin oligomers in hydrochloric acid
Depositing Cu2O of different morphology on chitosan nanoparticles by an electrochemical method
Deposition characterization of reactive magnetron sputtered aluminum nitride thin films for film bulk acoustic wave resonator
Deposition of crystalline C3N4 films via microwave plasma chemical vapour deposition
Deposition of electroless Ni on micro-sized acrylic spheres
Deposition and characterization of 1D RuO2 nanocrystals by reactive sputtering
Deposition of NiOx thin films with radio frequency magnetron sputtering and their characteristics as a source/drain electrode for the pentacene thin film transistor
Deposition of high-density Ge quantum dots on ultra-thin SiO2/Si(111) film surface
Deposition of CoO onto MoO3/Al2O3 hydrodesulfurization catalysts by solvent assisted spreading
Deposition characteristics of AlN thin film prepared by the dual ion beam sputtering system
Deposition process, microstructure and mechanical behaviours of RF magnetron sputtered (Ti,Al)N thin films
Depositional facies, diagenesis and their impact on the reservoir quality of Silurian sandstones from Tazhong area in central Tarim Basin, western China
Depolymerization of poly(ethylene terephthalate) with catalyst under microwave radiation
Deposition of carbonate slope and ore-forming in Permian strata in the Middle-Lower Reaches of the Yangtze River., east China
Deposition of Au colloids on plasmachemically modified carbon nanofibers
Deposition of nanoscale films on the nanopore-arrayed template by an in-situ spinning-precipitated technique
Deposition of HfO2 thin films on ZnS substrates
Deposition of Ni-CGO composite anodes by electrostatic assisted ultrasonic spray pyrolysis method
Deposition of transparent conductive mesoporous indium tin oxide thin films by a dip coating process
Deposition of Co(3)O(4) nanoparticles onto exfoliated graphite oxide sheets
Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition
Deposition and STM characterization of luminescent organic molecules on metal substrates
Depositional facies, architecture and environments of the Sihwa Formation (Lower Cretaceous), mid-west Korea with special reference to dinosaur eggs
Deposition of DLC film with adhesive W-DLC layer on stainless steel and its tribological properties
Depolarization-induced, glutamate receptor-mediated, and transactivation-depen dent extracellular-signal regulated kinase phosphorylation in cultured cerebellar granule neurons
Deposition of diamond-like carbon films on aluminium substrates by RF-PECVD technique: Influence of process parameters
Deposition of silver nanoleaf film onto chemical vapor deposited diamond substrate and its application in surface-enhanced Raman scattering
Deposition of microcrystalline silicon films at ultrafast rate by using a new microwave induced plasma source
Depositing a ZnxCd1-xS Shell around CdSe Core Nanocrystals via a Noninjection Approach in Aqueous Media
Deposition of nanoparticles in filters composed of permeable porous fibers
Deposition of silver nanoparticles on silica spheres via ultrasound irradiation
Deposition of tungsten oxynitride nanowires through simple evaporation and subsequent annealing
Depolarization temperature and piezoelectric properties of Na1/2Bi1/2TiO3-Na1/2Bi1/2(Zn1/3Nb2/3)O-3 ceramics by two-stage calcination method
Deposition of aerosol nanoparticles in filters composed of fibers with porous shells
Deposit 3D modeling and application
Deposition chemistry of Cu[OCH(Me)CH2NMe2](2) over mesoporous silica
Deposition and structural properties of RF magnetron-sputtered ZnO thin films on Pt/Ti/SiNx/Si substrate for FBAR device
Deposition behavior and properties of silk fibroin scaffolds soaked in simulated body fluid
Deposition of Al-doped ZnO thin-films with radio frequency magnetron sputtering for a source/drain electrode for pentacene thin-film transistor
Deposition and characterization of TiBCN films by cathodic arc plasma evaporation
Depositional Characteristics of Lake-Floor Fan of Cretaceous Lower Yaojia Formation in Western Part of Central Depression Region, Songliao Basin
Deposition of HMDSO-based coatings on PET substrates using an atmospheric pressure dielectric barrier discharge
Deposition of polymethyl methacrylate on polypropylene substrates using an atmospheric pressure dielectric barrier discharge
Depositional history of the Miocene Lake Sinj (Dinaride Lake System, Croatia): a long-lived hard-water lake in a pull-apart tectonic setting
Deposition and Microstructural Characterisation of GLC Coatings on AZ31 Magnesium Alloy
Deposition and electrostatic removal of gaseous organic contaminants on substrate surfaces
Depollution potential of three macrophytes: Exudated, wall-bound and intracellular peroxidase activities plus intracellular phenol concentrations
Deposition, Composition, and Activity in CO Oxidation of Anodic Layers with Platinum on Aluminum and Titanium
Deposition of Ni, Ag, and Pt-based Al-doped ZnO double films for the transparent conductive electrodes by RF magnetron sputtering
Deposition of lactoferrin in fibrillar-type senile plaques in the brains of transgenic mouse models of Alzheimer's disease
Deposition of chromatin-IgG complexes in skin of nephritic MRL-lpr/lpr mice is associated with increased local matrix metalloprotease activities
Deposition of Ag nanostructures on TiO2 thin films by RF magnetron sputtering
Deposition of Large-Area and Protective Diamond-like Carbon Coatings on Glass Substrates by Low-Pressure Dielectric Barrier Discharges
Deposition Behavior and Mechanism of Ni Nanoparticles on Surface of SiC Particles in Solution Systems
Deposition and diffusion of plasma sputtered platinum nanoparticles in porous anodic aluminum oxide
Deposition Mechanism of Eletroless Plating of Ni-P on AZ91D Magnesium Alloy
Depolarization Increases Phosphatidylinositol (PI) 4,5-Bisphosphate Level and KCNQ Currents through PI 4-Kinase Mechanisms
Depositional behaviors of plutonium and thorium isotopes at Tsukuba and Mt. Haruna in Japan indicate the sources of atmospheric dust
Deposition pressure effect on the surface roughness scaling of microcrystalline silicon films
Deposition by seasonal wave- and current-supported sediment gravity flows interacting with spatially varying bathymetry: Waiapu shelf, New Zealand
Deposition of hydrogenated amorphous carbon nitride films by dielectric barrier discharge plasmas
Depositional characteristics and petroleum geological significance of wetland
Deposition of nano-scaled CrTiAlN multilayer coatings with different negative bias voltage on Mg alloy by unbalanced magnetron sputtering
Deposition of YBCO films on both sides of substrate by magnetron sputtering
Deposition of High-Quality NiCoCrAlTaReSiY Oxidation Resistance Coatings by HVOF
Deposition of crackless freestanding diamond films on Mo substrates with Zr interlayer
Deposition of NiO onto MoO3/gamma-Al2O3 extrudates by slurry impregnation method
Deposition of hard thin films from HMDSO in atmospheric pressure dielectric barrier discharge
Deposition of nickel nanoparticles onto aluminum powders using a modified polyol process
Depositing an Apatite Coating in/on Massive Chitosan Porous Scaffolds by an Alternate Soaking Method
Depositional environment in the southern Ulleung Basin, East Sea (Sea of Japan), during the last 48 000 years
Deposition of Air-Stable Zinc Nanoparticles on Glass Slides by the Solvent-Assisted Deposition in Plasma (SADIP) Method
Deposition of thin electroplated Cd-Se layers
Deposition of Pt on the stable nanotubular TiO2 and its photocatalytic performance
Deposition of osmium and ruthenium thin films from organometallic cluster precursors
Deposition of orthorhombic boron nitride films by plasma-enhanced pulsed laser deposition
Deposition History of Brominated Flame Retardant Compounds in an Ice Core from Holtedahlfonna, Svalbard, Norway
Deposition and structural analyses of molybdenum-disulfide (MoS2)-amorphous hydrogenated carbon (a-C:H) composite coatings
Deposition mechanism and microstructure of pyrocarbon prepared by chemical vapor infiltration with kerosene as precursor
Depolymerization of Chitosan with a Crude Cellulase Preparation from Aspergillus Niger
Deposition of Nano Sized Titanium Nitride on H11 Tool Steel using Active Screen Plasma Nitriding Method
Depolarised gas anodes for aluminium electrowinning
Deposition of Electrospun Fibers on Reactive Substrates for In Vitro Investigations
Deposition of quasi-crystal Al-doped ZnO thin films for photovoltaic device applications
Deposition and characterization of smooth ultra-nanocrystalline diamond film in CH4/H-2/Ar by microwave plasma chemical vapor deposition
Deposition and friction properties of ultra-smooth composite diamond films on Co-cemented tungsten carbide substrates
Deposition of silica thin films formed by sol-gel method
Deposition of low dielectric constant SiOC films by using an atmospheric pressure microplasma jet
Deposition of Al-doped ZnO films on polyethylene naphthalate substrate with radio frequency magnetron sputtering
Deposition and Growth Mechanism of Low-Temperature Crystalline Silicon Films on Inexpensive Substrates
Deposition of zirconium carbonitride composite films using ion and electron beams emitted from plasma focus device
Deposition and characterization of binary Al2O3/SiO2 coating layers on the surfaces of rutile TiO2 and the pigmentary properties
Depositional environments and cyclo- and chronostratigraphy of uppermost Carboniferous-Lower Triassic fluvial-lacustrine deposits, southern Bogda Mountains, NW China - A terrestrial paleoclimatic record of mid-latitude NE Pangea
Deposition of Plate-Like Apatite on Nacre Surfaces and Its in Vitro Bioactivity
Deposited layer removal by laser ablation: from laboratory to Tore Supra integration
Depositional History, Processes and Mechanism of Early Miocene Sediments of Upper Assam Basin
Deposition Effectiveness Investigation of Ir Film Prepared by MOCVD
Deposition of Gd2Zr2O7 single buffer layers with different thickness for YBa2Cu3O7-delta coated conductors on metallic substrates
Deposition of La2Zr2O7 film by chemical solution deposition
Deposition of copper sulfide hollow nanospheres in aqueous solution at room temperature
Deposition of MgB2 superconducting films on different metal substrates
Deposition of carbon nanofibers on a low carbon steel substrate using an oxy-acetylene reducing flame
Deposition of biaxially textured CeO2 thin films on single crystal and textured Ni5W substrates using solution derived method
Deposition of a-C:H films on UHMWPE substrate and its wear-resistance
Deposition and characterization of hex-BN coating on carbon fibres using tris(dimethylamino)borane precursor
Deposition of diamond-like films of hydrogenized carbon
Deposition of Cr-modified silicide coatings on Nb-Si system intermetallics
Deposition on thin SiO2 layer by reactive sputtering
Depolymerized products of lambda-carrageenan as a potent angiogenesis inhibitor
Deposition of Pd nano-particles in W/O microemulsion by chemical demulsifying
Deposition process of Si-B-C ceramics from CH3SiCl3/BCl3/H-2 precursor
Deposition of Zn-Cr alloy coatings from sulfate electrolyte: effect of polypropylene glycol 620 and glycine and combinations thereof
Deposition of Al-doped and Al, Sc-co-doped zinc oxide films by RF- and DC-sputtering of the ZnO and Al-xSc (x=0, 0.4, 0.8 and 1.7 wt.%) targets
Deposition of SiOx on metal surface with a DBD plasma gun at atmospheric pressure for corrosion prevention
Deposition of Ba(x)Sr(1-x)TiO(3) thin films by double RF hollow cathode plasma jet system
Deposition processes for high silicon solar cells efficiency microcrystalline
Deposition and tunable photoluminescence of Zn1-x(Mg,Cd)(x)O film system
Deposition of SiOx barrier films by O-2/TMDSO RF-PECVD
Deposition and characterization of Pb(Zr, Ti)O-3 sol-gel thin films for piezoelectric cantilever beams
Deposition of n-type nanocrystalline SiC films and current transport mechanisms in nanocrystalline SiC/crystalline Si heterojunctions
Deposition and sensing properties of PT/PZT/PT thin films for microforce sensors
Depot-specific messenger RNA expression of 11 beta-hydroxysteroid dehydrogenase type 1 and leptin in adipose tissue of children and adults
Deposition of chromium aluminum nitride coatings by arc ion plating
Deposition of silicon carbon nitride thin films by microwave ECR plasma enhanced unbalance magnetron sputtering
Deposition of mesoporous silicon carbide thin films from (Me3Si)(4)Sn: Tin nanoparticles as in situ generated templates
Deposition of ZnO thin film on polytetrafluoroethylene substrate by the magnetron sputtering method
Deposition of aerosol in a laminar pipe flow
Depositing silver nanoparticles on/in a glass slide by the sonochemical method
Deposited RuO2-IrO2/Pt electrocatalyst for the regenerative fuel cell
Deposit morphology on SiC fibers in methane-acetylene/air laminar diffusion flames
Deposition and field emission properties of highly crystallized silicon films on aluminum-coated polyethylene napthalate
Deposition rate and morphology of carbon nanotubes at different positions in a CVD reactor
Deposition of talc, kerolite-smectite, smectite at seafloor hydrothermal vent fields: Evidence from mineralogical, geochemical and oxygen isotope studies
Deposition of CdS thin films onto Si(111) substrate by PLD with femtosecond pulse
Deposition of ZnO thin films on GaN substrates
Deposition of photocatalytic TiO2 and N-doped TiO2 films by arc ion plating
Deposition and characterization of nanocrystalline diamond films on Co-cemented tungsten carbide inserts
Deposited well-crystallized cubic boron nitride films by pulsed plasma enhanced chemical vapor deposition at room temperature
Deposition of K-doped p type ZnO thin films on (0001) Al2O3 substrates
Deposition of electroless Ni-P/Ni-W-P duplex coatings on AZ91D magnesium alloy
Deposition, characterization and optimization of zinc oxide thin film for piezoelectric cantilevers
Deposition-Monitoring Technology in an Estuarial Environment Using an Electrical-Resistivity Method
Deposition-rate dependence of granular size distribution in Cu aggregate on liquid substrate studied by atomic force microscopy
Depolymerization of poly(trimethylene terephthalate) in hot compressed water at 240-320 degrees C
Deposition of silver nanoparticles on cellulosic fibers via stabilization of carboxymethyl groups
Deposition of c-axis orientation aluminum nitride films on flexible polymer substrates by reactive direct-current magnetron sputtering
Depolymerization of ODPA/ODA Polyimide in a Fused Silica Capillary Reactor and Batch Autoclave Reactor from 320 to 350 degrees C in Hot Compressed Water
Deposition and properties of highly c-oriented of InN films on sapphire substrates with ECR-plasma-enhanced MOCVD
Deposition of nanocrystalline thin TiO2 films for MOS capacitors using Sol-Gel spin method with Pt and Al top electrodes
Deposition of Transparent Indium Molybdenum Oxide Thin Films and the Application for Organic Solar Cells
Deposition of polychlorinated biphenyls and polybrominated diphenyl ethers in the vicinity of a steel manufacturing plant
Depolymerization of cortical actin inhibits UT-A1 urea transporter endocytosis but promotes forskolin-stimulated membrane trafficking
Deposition and structural characterization of nanostructured RuO2 on rutile-TiO2/sapphire(100) templates by reactive radio frequency magnetron sputtering
Deposition and characterization of IrOx nanofoils on carbon nanotube templates by reactive magnetron sputtering
Deposition kinetics of MS2 bacteriophages on clay mineral surfaces
Deposition of Micro-Porous Hydroxyapatite/Tri-Calcium Phosphate Coating on Zirconia-Based Substrate
Deposition of cobalt-containing films on titanium by plasma electrolytic oxidation
Deposition of superconducting Nb3Sn and high-purity Nb coatings on the rotor of a cryogenic gyroscope
Deposition-Pressure-Induced Optimizaton of Molecular Packing for High-Performance Organic Thin-Film Transistors Based on Copper Phthalocyanine
Deposition of beryllium-7 in Hsinchu, Taiwan
Deposition Ga-doped ZnO films on PEN substrate at room temperature for thin film silicon solar cells
Deposition of diamond-like carbon films by using liquid phase electrodeposition technique and its electron emission properties
Deposition of diamond-like carbon films on aluminum in the liquid phase by an electrochemical method
Deposition of unhydrogenated diamond-like amorphous carbon films by electrolysis of organic solutions
Deposition of diamond-like carbon films by electrolysis of methanol solution
Deposition, diffusion, and aggregation on small-world networks: a model for nanostructure growth on the defective substrate
Depolarizing bipolar cell dysfunction due to a Trpm1 point mutation
Deposition of Nano-Crystalline Diamond Films of Spherical Shell
Deposition and aggregation of aspirin molecules on a phospholipid bilayer pattern
Deposition of TiC film on titanium for abrasion resistant implant material by ion-enhanced triode plasma CVD
Depositional fluxes and residence time of atmospheric radioiodine (I-131) from the Fukushima accident
Depositional slope break in shallow marine shelf setting and its control on regional forced regressive wedge systems tract
Deposition of ZrC-coated particle for HTR with ZrCl4 powder
Deposition kinetics of apatite coating on CVI carbon/carbon composite by sonoelectrodeposition technique
Deposition of Cu seed layer film by supercritical fluid deposition for advanced interconnects
Deposition and characterisation of nanostructured silicon-oxide containing diamond-like carbon coatings
Deposition and Characterization of a Low-epsilon(r) Thin Film Based on Plasma-Polymerized 4-Cumylphenol Cyanate Ester
Depositing Au Nanoparticles onto Graphene Sheets for Simultaneous Electrochemical Detection Ascorbic Acid, Dopamine and Uric Acid
Deposit models for tube support plate flow blockage in Steam Generators
Deposition of pi-Conjugated Polycyanate Ester Thin Films and Their Dielectric Properties
Deposition of (WO3)(3) nanoclusters on the MgO(001) surface: A possible way to identify the charge states of the defect centers
Depolymerization of fucosylated chondroitin sulfate from sea cucumber, Pearsonothuria graeffei, via Co-60 irradiation
Deposition of hydroxyapatite coating on biocompatible porous titanium by biomimetic method
Depolymerization of poly(butylene terephthalate) in sub- and supercritical ethanol in a fused silica capillary reactor or autoclave reactor
Depositional ages and tectonic implications for South Liaohe Group from Kuandian area in northeastern Liaodong Peninsula, Northeast China
Depolymerization of crystalline cellulose catalyzed by acidic ionic liquids grafted onto sponge-like nanoporous polymers
Depolarized dynamic light scattering study of multi-walled carbon nanotubes in solution
Deposition Uniformity of Coal Dust on Filters and Its Effect on the Accuracy of FTIR Analyses for Silica
Deposition Behavior of Magnesium Thin Films in Organic Solvents
Deposition and thermal transformation of metal oxides in mesoporous SBA-15 silica with hydrophobic mesopores
Deposition behavior and microstructural development of TiNi powder particles in low temperature-HVOF spraying process
Deposition of CrSiN/AlTiSiN nano-multilayer coatings by multi-arc ion plating using gas source silicon
Deposition of gold from chloride solutions on microporous iron-carbon sorbents
Deposition of ZrNxOy films by filtered cathodic vacuum arc
Deposition behavior of thermally softened copper particles in cold spraying
Depolymerization of cranberry procyanidins using (+)-catechin, (-)-epicatechin, and (-)-epigallocatechin gallate as chain breakers
Deposition of a TMDSO-Based Film by a Non-Equilibrium Atmospheric Pressure DC Plasma Jet
Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side
Deposited gold nanocrystals enhanced porous PTCA-Cys layer for simultaneous detection of ascorbic acid, dopamine and uric acid
Deposition Via Dip Coating Technique of Dense Yttrium Stabilized Zirconia Layers
Deposition property investigation of a focused ion beam for a high-aspect-ratio metal tip
Deposition of LDH on plasma treated polylactic acid to reduce water permeability
Deposition of beta-Co(OH)(2) Films by Electrochemical Reduction of Tris(ethylenediamine)cobalt(III) in Alkaline Solution
Deposition of copper micro-circuitry by capillary focusing
Deposition and photo-induced electrical resistivity of dip-coated NiO thin films from a precipitation process
Depolymerization of lignin by catalytic oxidation with aqueous polyoxometalates
Deposition Dos and Don'ts Strategies for the Expert Witness
Deposition of platinum on oxygen plasma treated carbon nanotubes by atomic layer deposition
Deposition of Cu-Mn alloy film from supercritical carbon dioxide for advanced interconnects
Deposition of CIGS films by hydrazine-free solution method
Deposition of mesoporous activated powder alumina on SiC ceramic foam substrates by an in-situ hydrothermal technique
Deposition of organochlorine pesticides into the surface snow of East Antarctica
Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation
Deposition and first-principles caculation of carbon-doped titanium monoxide films
Deposition and characterization of BiVO4 thin films and evaluation as photoanodes for methylene blue degradation
Deposition of Cryptosporidium parvum Oocysts in Porous Media: A Synthesis of Attachment Efficiencies Measured under Varying Environmental Conditions
Deposition of ultra-thin gold film on in situ loaded polymeric substrate for compression tests
Deposition-dissolution properties of magnesium in imidazolium-based ionic liquids
Deposition of tungsten disulfide films grown by RF magnetron sputtering on stainless steel substrates
Depot choice model of passageways of underground expressway
Deposition process of MgO thin film on MgO(001) surface simulated by molecular dynamics
Deposition characteristics and coal-forming regularity of the upper-Es3 in southern eastern sag of Liaohe depression
Deposition of N-Al Co-doping p-type ZnO by ultrasonic spray pyrolysis
Deposition of micro/nano composite multilayered free-standing diamond film and investigation of its microstructure
Deposition of diamond-like carbon films by barrier discharge plasma with 1.4 and 20 kHz power sources
Depolarised broadband source generated by hybrid effects of Raman scattering, parametric process and erbium-doped superfluorescent
Deposition behaviors of solid phases in liquid-solid two-phase particles in high velocity oxy-fuel spraying
Deposition of CuInS2 thin films by RF reactive sputtering with a ZnO : Al buffer layer
Deposition and damping property of arc ion plated NiCrAlY coatings
Depolarizing bipolar disorder: both the illness and our views
Depolymerization of Aryltellurinic Anhydrides with Sodium Hydroxide. Synthesis and Structure of the Hydrated Sodium Aryltellurinates [Na(H2O)(4)](RTeO2) (R=4-MeOC6H4, 8-Me2NC10H6)
Deposition model of the Si3N4 coating on hard alloys
Deposition of Diamond onto a Titanium Substrate using a Molybdenum Intermediate Layer
Deposition Behavior at Different Substrate Temperatures by Using Supersonic Laser Deposition
Deposition of B4C Microparticles on Boiling Surface in Boric Acid Solution
Deposition rule of yolk cholesterol in two different breeds of laying hens
Deposition of YSZ Coatings in a Chamber at Pressures below 100 Pa Using Low-Power Plasma Spraying with an Internal Injection Powder Feeding
Deposition and characterization of highly energetic AI/MoOx multilayer nano-films
Deposition of SnO2 on the Anatase TiO2 {105} Facets with High Photocatalytic Performance
Deposition of hematite Fe2O3 thin film by DC pulsed magnetron and DC pulsed hollow cathode sputtering system
Deposition of La2Zr2O7 (LZO) Buffer Layer by a Reel-to-Reel Method
Depositional processes on oceanic island shelves - Evidence from storm-generated Neogene deposits from the mid-North Atlantic
Deposition and weathering of Asian dust in Paleolithic sites, Korea
Deposition, structure and tribological behavior of silver-carbon nanocomposite coatings
Deposition temperature independent excellent passivation of highly boron doped silicon emitters by thermal atomic layer deposited Al2O3
Deposition SnO2/Nitrogen-Doped Graphene Nanocomposites on the Separator: A New Type of Flexible Electrode for Energy Storage Devices
Deposition, characterization and electrochemical properties of silica-phosphate composite coatings formed over A6092/SiC/17.5p aluminum metal matrix composite
Deposition and Characterization of Molybdenum Thin Films Using DC-Plasma Magnetron Sputtering
Deposition of Indoor Airborne Particles onto Human Body Surfaces: A Modeling Analysis and Manikin-Based Experimental Study
Deposition, characterization, and in vivo performance of parylene coating on general-purpose silicone for examining potential biocompatible surface modifications
Deposition of Ti-Si-N films on Al substrates by magnetron sputtering
Depolarization and Electrical Response of Porous PZT 95/5 Ferroelectric Ceramics under Shock Wave Compression
Deposition of Diamond-Like Carbon on Inner Surface by Hollow Cathode Discharge
Deposition and Determination of Band Alignment of Al2O3/Si Gate Stacks by New CVD Chemistry
Depolymerization and decolorization of kraft lignin by bacterium Comamonas sp B-9
Deposition of Polymer Thin Film Using an Atmospheric Pressure Micro-Plasma Driven by Dual-Frequency Excitation
Deposition rate of hafnium crystal bar by iodide process
Depositing of CuS nanocrystals upon the graphene scaffold and their photocatalytic activities
Deposition of binary, ternary and quaternary metal selenide thin films from diisopropyldiselenophosphinato-metal precursors
Deposition of hexagonal boron nitride thin films on silver nanoparticle substrates and surface enhanced infrared absorption
Deposition flux of aerosol particles and 15 polycyclic aromatic hydrocarbons in the North China Plain
Deposition of Cr-Si-Ni-Mo films at a low sputtering current and performance of heat and humid resistance
Deposition and diagenesis of steep-slope glutenite reservoirs: Shengtuo Field, eastern China
Depolymerization of chitosan-metal complexes via a solution plasma technique
Deposition and characterization of Ti-C-x-N-y nanocomposite films by pulsed bias arc ion plating
Depolarization mechanisms of PbZr0.52Ti0.48O3 and PbZr0.95Ti0.05O3 poled ferroelectrics under high strain rate loading
Deposit analysis of water-wall tubes in a municipal solid waste grate incinerator
Deposition rate and interaction of human-riverine from height increase and permanent function of an 1180 years weir in Southeast China
Deposition and Release of Graphene Oxide Nanomaterials Using a Quartz Crystal Microbalance
Deposition of Pt inside fuel cell electrodes using high power impulse magnetron sputtering
Deposition of SiOx layer by plasma-enhanced chemical vapor deposition for the protection of silver (Ag) surfaces
Deposition of alumina stabilized zirconia at room temperature by plasma focus device
Deposits of the most recent eruption in the Southern Mono Craters, California: Description, interpretation and implications for regional marker tephras
Depopulating the Himalayan Highlands: Education and Outmigration From Ethnically Tibetan Communities of Nepal
Deposition velocity of fine and ultrafine particles onto manikin surfaces in indoor environment of different facial air speeds
Depositional history and archaeology of the central Lake Mungo lunette, Willandra Lakes, southeast Australia
Deposition of Bacteriorhodopsin Protein in a Purple Membrane Form on Nitrocellulose Membranes for Enhanced Photoelectric Response
Deposition of Thin Lipid Films Prepared by Electrospraying
Deposition of Copper by Plasma-Enhanced Atomic Layer Deposition Using a Novel N-Heterocyclic Carbene Precursor
Deposition of diesel exhaust particles from various fuels in a cast of human respiratory system under two breathing patterns
Deposition of poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT-PSS) particles using standing surface acoustic waves and electrostatic deposition method for the rapid fabrication of transparent conductive film
Deposition behavior and characteristics of hydroxyapatite coatings on Al(2)0(3), Ti, and Ti6Al4V formed by a chemical bath method
Deposition of silver nanoparticles into porous system of sol-gel silica monoliths and properties of silver/porous silica composites
Deposition of SiO2 nanoparticles in heat exchanger during combustion of biogas
Depositional Characteristics of Carbonate-Cemented Fossil Eolian Sand Dunes: Bozcaada Island, Turkey
Depolarization-Induced Calcium-Independent Synaptic Vesicle Exo- and Endocytosis at Frog Motor Nerve Terminals
Deposition and disinfection of Escherichia coli O157:H7 on naturally occurring photoactive materials in a parallel plate chamber
Deposition of PLA/CDHA composite coating via electrospraying
Depolarisation of light scattered by disperse systems of low-dimensional potassium polytitanate nanoparticles in the fundamental absorption band
Deposition of Transparent Indium Tin Oxide Electrodes by Magnetron Sputtering of a Metallic Target on a Cold Substrate
Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing
Deposition and characterization of energetic thin films
Depositional age of the early Paleoproterozoic Klipputs Member, Nelani Formation (Ghaap Group, Transvaal Supergroup, South Africa) and implications for low-level Re-Os geochronology and Paleoproterozoic global correlations
Deposition of non-spherical particles in bifurcating airways
Deposition of fluorescent NIPAM-based nanoparticles on solid surfaces: Quantitative analysis and the factors affecting it
Deposition Mechanisms and Oxidation Behaviors of Ti-Ni Coatings Deposited in Low-Temperature HVOF Spraying Process
Deposition of hydroxyapatite on SiC nanotubes in simulated body fluid
Deposition of Zinc Oxide on the Materials Used in Medicine. Preliminary Results
Deposition of Cu2ZnSnS4 Thin Films by Magnetron Sputtering and Subsequent Sulphurization
Deposition of nanostructured films of CdSe and CdS using three layered water-oil-amphiphile/salt system
Depositional architecture, provenance, and tectonic/eustatic modulation of Miocene submarine fans in the Shikoku Basin: Results from Nankai Trough Seismogenic Zone Experiment
Deposition of IgA in primary IgA nephropathy: it takes at least four to tango
Depolarization-induced automaticity in rat ventricular cardiomyocytes is based on the gating properties of L-type calcium and slow Kv channels
Depolymerization of organosolv lignin to aromatic compounds over Cu-doped porous metal oxides
Deposition of microarc oxidation-polycaprolactone duplex coating to improve the corrosion resistance of magnesium for biodegradable implants
Deposition of CdSe quantum dots on graphene sheets
Deposition of Bimetallic Au/Ag Clusters by the Method of Laser Deposition of Nanoparticles from Colloidal Systems
Depositional architecture and evolution of progradationally stacked lobe complexes in the Eocene Central Basin of Spitsbergen
Deposition and characterization of diamond-like carbon films by microwave resonator microplasma at one atmosphere
Deposits of the sandy braided South Saskatchewan River: Implications for the use of modern analogs in reconstructing channel dimensions in reservoir characterization
Deposition of zinc-zinc phosphate composite coatings on steel by cathodic electrochemical treatment
Depositional characteristics of carbonate-cemented fossil eolian sand dunes: Bozcaada Island, Turkey (vol 29, pg 78, 2013)
Deposition Mechanism and Microstructure of Laser-Assisted Cold-Sprayed (LACS) Al-12 wt.%Si Coatings: Effects of Laser Power
Deposition of thin metal films in via holes of LSI by using the IBE method
Deposition mechanism of convex YSZ particles and effect of electrolyte/cathode interface structure on cathode performance of solid oxide fuel cell
Depolymerization-Powered Autonomous Motors Using Biocompatible Fuel
Deposition of topological insulator Sb2Te3 films by an MOCVD process
Deposition of few-layered graphene in a microcombustor on copper and nickel substrates
Depositional facies and geochemistry of the Kangan formation in the South Pars Field, Persian Gulf (Iran)
Depositional model and evolution for a deep-water sublacustrine fan system from the syn-rift Lower Cretaceous Nantun Formation of the Tanan Depression (Tamtsag Basin, Mongolia)
Depositional controls on tidally influenced fluvial successions, Neslen Formation, Utah, USA
Deposition of loosely bound organic D-A-pi-A ' dyes on sensitized TiO2 film: a possible strategy to suppress charge recombination and enhance power conversion efficiency in dye-sensitized solar cells
Depolymerization of organosolv lignin using doped porous metal oxides in supercritical methanol
Depolarization of Mitochondria in Endothelial Cells Promotes Cerebral Artery Vasodilation by Activation of Nitric Oxide Synthase
Depolarized and Fully Active Cathode Based on Li(Ni0.5Co0.2Mn0.3)O-2 Embedded in Carbon Nanotube Network for Advanced Batteries
Deposition of cobalt and nickel sulfide thin films from thio- and alkylthio-urea complexes as precursors via the aerosol assisted chemical vapour deposition technique
Deposition of gallium oxide nanodots prepared from metal-assembling dendrimer molecules isolated by the spacing of the nonmetal-assembling dendrimer molecules in the two-dendrimers mixture monolayer
Depositional environments and sequence architecture of the Raha and Abu Qada formations (Cenomanian-Turonian), west central Sinai, Egypt
Depositional environment of oil shale within the Eocene Jijuntun Formation in the Fushun Basin (NE China)
Deposition and generation of multiple widespread fall units from the c. AD 1314 Kaharoa rhyolitic eruption, Tarawera, New Zealand
Deposition of aluminum oxide by evaporative coating at atmospheric pressure (ECAP)
Depot-Medroxyprogesterone Acetate Does Not Reduce the Prophylactic Efficacy of Emtricitabine and Tenofovir Disoproxil Fumarate in Macaques
Deposition With Hot Streaks in an Uncooled Turbine Vane Passage
Depolarizing field in temperature-graded ferroelectrics from an atomistic viewpoint
Deposition of cupric oxide thin films by spin coating
Depolymerizable, adaptive supramolecular polymer nanoparticles and networks
Depositional processes of the mixed carbonate-siliciclastic rhodolith beds of the Miocene Saint-Florent Basin, northern Corsica
Depolymerization of Poly(indene carbonate). A Unique Degradation Pathway
Depositional environment of sediments along the Cuddalore coast of Tamilnadu, India
Deposition in St. Mark%26apos;s Basilica of Venice
Deposition of silica nanoparticles onto alumina measured by optical reflectometry and quartz crystal microbalance with dissipation techniques
Deposition of microcrystalline silicon in electron-cyclotron resonance discharge (24 GHz) plasma from silicon tetrafluoride precursor
Depositional environments during the Late Palaeozoic ice age (LPIA) in northern Ethiopia, NE Africa
Depositional processes beneath coastal multi-year sea ice
Deposition of matrix-free fullerene films with improved morphology by matrix-assisted pulsed laser evaporation (MAPLE)
Deposition records in lake sediments in western Japan of radioactive Cs from the Fukushima Dai-ichi nuclear power plant accident
Deposition Behavior of Semi-Molten Spray Particles During Flame Spraying of Porous Metal Alloy
Deposition of NiVOx thin films by pulse magnetron sputtering with various Ar/O-2 ratios
Deposition and XPS and FTIR Analysis of Plasma Polymer Coatings Containing Phosphorus
Deposition of High-k Samarium Oxide Membrane on Polysilicon for the Extented-Gate Field-Effect Transistor (EGFET) Applications
Depolymerization and solubilization of chemically pretreated powder river basin subbituminous coal by manganese peroxidase (MnP) from Bjerkandera adusta
Deposition of thin ultrafiltration membranes on commercial SiC microfiltration tubes
Depositional environments of Lower Cretaceous (Ryazanian-Barremian) sediments from Wollaston Forland and Kuhn O, North-East Greenland
Deposition cycle of atomic layer deposition HfO2 film: Effects on electrical performance and reliability
Depot-Dependent Effects of Adipose Tissue Explants on Co-Cultured Hepatocytes
Deposition modeling of hygroscopic saline aerosols in the human respiratory tract: Comparison between air and helium-oxygen as carrier gases
Depositional characteristics and accumulation model of gas hydrates in northern South China Sea
Depolarization characteristics of incompletely polarized and partially coherent laser beams in slant atmospheric turbulence
Deposit-feeder diets in the Bering Sea: potential effects of climatic loss of sea ice-related microalgal blooms
Deposition of organic-inorganic hybrid coatings over 316L surgical stainless steel and evaluation on vascular cells
Depot Medroxyprogesterone Acetate Use Is Not Associated With Risk of Incident Sexually Transmitted Infections Among Adolescent Women
Deposition of acidifying and neutralising compounds over the Baltic Sea drainage basin between 1960 and 2006
Deposition and characterization of Cu9S5 nanocrystals from unsymmetrical [(Hex)(Me)NC(S)NC(O)C6H3(NO2)(2)-3,5](2)Cu(II) and [(Et)(Bu)NC(S)NC(O)C6H4-4-NO2](2)Cu(II) complexes by colloidal thermolysis method
Deposition of Ta2O5 upon silver nanorods as an ultra-thin light absorber
Depolarization in On-Body Communication Channels at 2.45 GHz
Deposition of very thin uniform indium sulfide layers over metallic nano-rods by the Spray-Ion Layer Gas Reaction method
Deposition of conjugated linoleic acid in market size sea bass (Dicentrarchus labrax) and its effects on performance, composition and fillet sensory and texture attributes
Deposition rate enhancement in HiPIMS without compromising the ionized fraction of the deposition flux
Deposition of hydroxyl-apatite on titanium subjected to electrochemical plasma coating
Deposition Mechanisms of Magmatic Sulphide Liquids: Evidence from High-Resolution X-Ray Computed Tomography and Trace Element Chemistry of Komatiite-hosted Disseminated Sulphides
Deposition and distribution uniformity of spray in coffee plants using electrostatic spraying
Deposition technologies of Cd-free buffer layers in solar cells made of copper indium gallium diselenide films
Depositional processes, paleoflow patterns, and evolution of a Miocene gravelly fan-delta system in SE Korea constrained by anisotropy of magnetic susceptibility analysis of interbedded mudrocks
Deposited low temperature silicon GHz modulator
Deposition of Ag@AgCl onto two dimensional square-like BiOCl nanoplates for high visible-light photocatalytic activity
Deposition and characterization of nanostructured Cu2O thin-film for potential photovoltaic applications
Deposition of Nanosized Soot Particles in Various EGR Coolers Under Thermophoretic and Isothermal Conditions
Depositional history and sequence stratigraphy of Tirgan formation (Barremian-Aptian) in central Kopet Dagh, NE Iran
Deposition and Characterization of a New Mixed Organic/Inorganic Cerium Containing Coating for the Corrosion Protection of Eletrogalvanized Steel
Deposition and characterization of Al2O3 coatings by multi-chamber gas-dynamic accelerator
Deposition of silver nanoparticles onto human serum albumin-functionalised multi-walled carbon nanotubes
Deposition of superparamagnetic iron-oxide nanoparticles in axillary sentinel lymph nodes following subcutaneous injection
Deposition of alumina coatings on stainless steel by a combined laser/sol-gel technique
Deposition and characterization of silicon-aluminum non-conductive vacuum metallization coatings
Deposition of High-Efficiency Microcrystalline Silicon Solar Cells Using SiF4/H-2/Ar Mixtures
Deposition of U-236 from atmospheric nuclear testing in Washington state (USA) and the Pechora region (Russian Arctic)
Deposition of F-doped ZnO transparent thin films using ZnF2-doped ZnO target under different sputtering substrate temperatures
Depositional architecture of growth-fault related wave-dominated shelf edge deltas of the Oligocene Frio Formation in Corpus Christi Bay, Texas
Depolarized Inactivation Overcomes Impaired Activation to Produce DRG Neuron Hyperexcitability in a Na(v)1.7 Mutation in a Patient with Distal Limb Pain
Deposition of earth-abundant p-type CuBr films with high hole conductivity and realization of p-CuBr/n-Si heterojunction solar cell
Deposition Behavior of LbL Multilayered GCC Particles on Pulp Fibers
Deposition velocity of particles in charge equilibrium onto a flat plate in parallel airflow under the influence of simultaneous electrophoresis and thermophoresis
Deposition and decomposition of cattle dung and its impact on soil properties and plant growth in a cool-temperate pasture
Deposition, Characterization, and Thin-Film-Based Chemical Sensing of Ultra-long Chemically Synthesized Graphene Nanoribbons
Deposition of Charged Particles on a Flat Plate in Parallel Flow in the Presence of an Electric Field
Depositional facies and platform architecture of microbialite-dominated carbonate reservoirs, Ediacaran-Cambrian Ara Group, Sultanate of Oman
Deposition of Ingested Volcanic Ash on Surfaces in the Turbine of a Small Jet Engine
Deposition of aromatic polyimide thin films in supercritical carbon dioxide
Deposition and characterization of a dual-layer silicon- and silver-containing hydroxyapatite coating via a drop-on-demand technique
Depolarization ratio of polar stratospheric clouds in coastal Antarctica: comparison analysis between ground-based Micro Pulse Lidar and space-borne CALIOP observations
Deposition and mobilization of functionalized multiwall carbon nanotubes in saturated porous media: effect of grain size, flow velocity and solution chemistry
Depositional environment, age and facies of the Middle Triassic Bulog and Rid formations in the Inner Dinarides (Zlatibor Mountain, SW Serbia): evidence for the Anisian break-up of the Neotethys Ocean
Deposition of metallic gallium on re-crystallized ceramic material during focused ion beam milling
Deposition of nanocrystalline coatings by modified LVOF thermal spray method
Deposition Behavior and Microstructural Features of Vacuum Kinetic Sprayed Aluminum Nitride
Deposition of yttria-stabilized zirconia thin films by high power impulse magnetron sputtering and pulsed magnetron sputtering
Deposition, burial and sequestration of carbon in an oligotrophic, tropical lake
Deposition of AA6082-T6 over AA2024-T3 by friction surfacing - Mechanical and wear characterization
Deposition and x-ray characterization of epitaxial thin films of LaAlO3
Deposition rate dependent mobility of an organic transistor with an anisotropic polymeric insulator
Deposition of conductive materials on textile and polymeric flexible substrates
Depolarized light scattering and dielectric response of a peptide dissolved in water
Depositional processes of reworked tephra from the Late Pleistocene Youngest Toba Tuff deposits in the Lenggong Valley, Malaysia
Depositional fluxes and sources of particulate carbon and nitrogen in natural lakes and a young boreal reservoir in Northern Quebec
Deposition of intrinsic hydrogenated amorphous silicon for thin-film solar cells -a comparative study for layers grown statically by RF-PECVD and dynamically by VHF-PECVD
Deposition patterns and transport mechanisms for the endocrine disruptor 4-nonylphenol across the Sierra Nevada Mountains, California
Depositional history of the Upper Triassic Kapp Toscana Group on Svalbard, Norway, inferred from palynofacies analysis and organic geochemistry
Deposition of NonFouling Plasma Polymers to a Thermoplastic Silicone Elastomer for Microfluidic and Biomedical Applications
Deposition of a polymeric porous superhydrophobic thin layer on the surface of poly(vinylidenefluoride) hollow fiber membrane
Deposition of nano Fe3O4@mZrO(2) onto exfoliated graphite oxide sheets and its application for removal of amaranth
Deposition of Metal Nanoparticles on Phospholipid Multilayer Membranes Modified by Gramicidin
Depositional age, provenance, and tectonic and paleoclimatic settings of the late Mesoproterozoic-middle Neoproterozoic Mbuji-Mayi Supergroup, Democratic Republic of Congo
Deposition Kinetics of Quantum Dots and Polystyrene Latex Nanoparticles onto Alumina: Role of Water Chemistry and Particle Coating
Deposition of the lectin pathway of complement in renal biopsies of lupus nephritis patients
Deposition of Ag(In,Ga)Se-2 Solar Cells by a Modified Three-Stage Method Using a Low-Temperature- Deposited Ag-Se Cap Layer
Deposition patterns of algal wrack species on estuarine beaches
Deposition of palladium nanoparticles in SBA-15 templated silica using supercritical carbon dioxide
Deposition/erosion and H/D retention characteristics in gaps of PFCs in KSTAR studied by cavity technique
Depolarization-induced suppression of a glycinergic synapse in the superior olivary complex by endocannabinoids
Deposition of Zinc Oxide Photoelectrode Using Plasma Enhanced Chemical Vapor Deposition for Dye-Sensitized Solar Cells
Depositional sequences and ammonoid assemblages in the upper Cenomanian-lower Santonian of the Iberian Peninsula (Spain and Portugal)
Deposition Features of Ti Coating Using Irregular Powders in Cold Spray
Depositional and Diagenetic Controls on Sandstone Reservoirs with Low Porosity and Low Permeability in the Eastern Sulige Gas Field, China
Deposition of copper, silver and gold from aqueous solutions onto germanium substrates via galvanic displacement
Deposition of the Monodispersed Pt Nanodots on a Substrate by Using the Pt Nanoparticle-Containing Dendrimer Micelle Aqueous Solution
Deposition and transport of Pseudomonas aeruginosa in porous media: lab-scale experiments and model analysis
Deposition of Charged Aerosol Particles on a Substrate by Collimating Through an Electric Field Assisted Coaxial Flow Nozzle
Deposition of JWH-018, JWH-073 and their metabolites in hair and effect of hair pigmentation
Deposition of Al doped ZnO thin films on the different substrates with radio frequency magnetron sputtering
Deposition of Highly Porous Nanocrystalline Platinum on Functionalized Substrates Through Fluorine-Induced Decomposition of Pt(PF3)(4) Adsorbates
Deposition of Hydroxyl Functionalized Films by Means of ethylene Aerosol-Assisted Atmospheric Pressure Plasma
Depositional model for the Cerro Lisandro Formation: mouth lobes and Gilbert-type deltas. Upper Cretaceous, central region of the Neuquen basin, Argentina
Deposition of Al nanoparticles and their nanocomposites using a gas aggregation cluster source
Deposition and characterization of diamond-like nanocomposite coatings grown by plasma enhanced chemical vapour deposition over different substrate materials
Deposition of Corrosive Alkali Salt Vapors on the Blades of Gas Turbines Fueled by Coal-Derived Syngases
Depolymerization of Poly(2,6-dimethyl-1,4-phenylene oxide) in Green Solvents: Water and Ionic Liquid
Depolarized light scattering spectra of molecular liquids: Described in terms of mode coupling theory
Deposition of collagen IV and aggrecan in leptomeningeal arteries of hereditary brain haemorrhage with amyloidosis
Deposition of Ultra-Thin Aluminosilicate Coatings on Metal Surfaces by Aerosol Method
Deposition and organisation of cell wall polymers during maturation of poplar tension wood by FTIR microspectroscopy
Deposition ice nuclei observations during CAIPEEX
Deposition of WO3 on Al2O3 via a microwave hydrothermal method to prepare highly dispersed W/Al2O3 hydrodesulfurization catalyst
Depositional environment and elemental distribution with time in mudflats of dharamtar creek, west coast of India
Deposition of ZnO rods by electrochemically induced hydrolysis
Deposition of nanometer scaled calcium-phosphate crystals to implants with a dual acid-etched surface does not improve early tissue integration
Depositional history of the Upper Vindhyan succession, central India: Time constraints from Pb-Pb isochron ages of its carbonate components
Deposition of Extreme-Tolerant Bacterial Strains Isolated during Different Phases of Phoenix Spacecraft Assembly in a Public Culture Collection
Depolymerisation of condensed tannins in ethanol as a gateway to biosourced phenolic synthons
Deposition of microcrystalline intrinsic silicon by the Electrical Asymmetry Effect technique
Deposition of zinc oxide nanomaterial on different substrates for useful applications
Depositional evolution of the Middle-Upper Eocene rocks, Fayum area, Egypt
Deposits of iron oxides in the human spleen
Deposition and production of highly reproducible hybrid Cu[(tBu)4Pc]-polystyrene thin layers via spin casting
Deposited amorphous silicon-on-insulator technology for nano-photonic integrated circuits
Deposition of Al2O3 by resistive evaporation and thermal oxidation of Al to be applied as a transparent FET insulating layer
Deposition of fullerene C-60 on the surface of MCM-41 via the one-step wet impregnation method: Active catalysts for the singlet oxygen mediated photooxidation of alkenes
Depolarization of light in magnetooptical crystals under magnetomechanical resonance conditions
Deposition angle dependence of optical and structural properties of titanium nano-layers
Deposition and corrosion behavior of silicate conversion coatings on aluminum alloy 2024
Depositional environment in and around Tamiraparani estuary, and off Tuticorin, Tamil Nadu, India: clues from grain size studies
Depositional environment and geochemical response of mangrove sediments from creeks of northern Maharashtra coast, India
Deposition of GaV4S8 thin films by H2S/Ar reactive sputtering for ReRAM applications
Depositional environment of mudflats and mangroves and bioavailability of selected metals within mudflats in a tropical estuary
Depositional behaviour of C-org, N, P and K in the surface sediments of two shallow water bodies in a tropical coast, India
Deposition of Nanostructured Ag Films on Silicon Wafers by Electrochemical/Electrophoretic Deposition for Electrochemical and SERS Sensing
Depolarizing Actions of Hydrogen Sulfide on Hypothalamic Paraventricular Nucleus Neurons
Deposition of toxic metal particles on rough nanofiltration membranes
Deposition and fine particle production during dynamic flow in a dry powder inhaler: A CFD approach
Deposition and Oxidation of Oxide-Dispersed CoNiCrAlY Bondcoats
Deposition of superelastic composite NiTi based films
Deposition of tocopherol and tocotrienol in the tissues of red hybrid tilapia, Oreochromis sp., fed vitamin E-free diets supplemented with different plant oils
Depopulation of metastable helium He(2(1)S) by radiative association with hydrogen and lithium cations
Deposition and characterization of Cd1-xMgxTe thin films grown by a novel cosublimation method
Depolymerase improves gentamicin efficacy during Klebsiella pneumoniae induced murine infection
Depolymerization of Nylon 6 Using Subcritical Water
Deposition and Characterization of SnSe and CuInSe2 Thin Films by Thermal Evaporation Technique from Synthesized SnSe and CuInSe2 Sources
Deposition of hydroxyapatite thin films from saturated calcium phosphate solution by controlling the substrate temperature
Depositional environment and sequence stratigraphy of the Upper Cretaceous Ilam Formation in central and southern parts of the Dezful Embayment, SW Iran
Deposition of copper iodide thin films by chemical bath deposition (CBD) and successive ionic layer adsorption and reaction (SILAR) methods
Deposit membrane fouling: influence of specific cake layer resistance and tangential shear stresses
Depositional environments and ichnology of the deep-marine succession of the Amiran Formation (upper Maastrichtian-Paleocene), Lurestan Province, Zagros Fold-Thrust Belt, Iran
Deposition-Precipitation and Stabilization of a Silica-Supported Au Catalyst by Surface Modification with Carbon Nitride
Depot versus daily administration of gonadotrophin-releasing hormone agonist protocols for pituitary down regulation in assisted reproduction cycles
Deposition of diamond/beta-SiC composite gradient films by HFCVD: A competitive growth process
Deposition and tuning of nanostructured hydrocarbon deposits: From superhydrophobic to superhydrophilic and back
Depositing highly adhesive optical thin films on acrylic substrates
Deposition and characterization of nanocrystalline and amorphous Ni-W coatings with embedded alumina nanoparticles
Deposition of Metal Oxide Films from Metal-EDTA Complexes by Flame Spray Technique
Deposition and characterization of AlN thin films obtained by radio frequency reactive magnetron sputtering
Deposition by radio frequency magnetron sputtering of GaV4S8 thin films for resistive random access memory application
Depositional character of a dry-climate alluvial fan system from Palaeoproterozoic rift setting using fades architecture and palaeohydraulics: Example from the Par Formation, Gwalior Group, central India
Depolymerization of sulfated polysaccharides under hydrothermal conditions
Deposition and electrochemical characterization of Yttrium doped Barium cerate and zirconate heterostructures
Deposition of colloidal gold nanoparticles by fully pulsed-voltage-controlled electrohydrodynamic atomisation
Deposition of PEEK coatings using a combined flame spraying-laser remelting process
Deposition and friction properties of DLC films with micro-crater-like structures
Deposition of nano-diamond film by double beam pulse laser irradiation of graphite suspension
Deposition of homogeneous copper layer on short carbon fibers using electrochemical method
Deposition of gold nanoparticles on beta-FeOOH nanorods for detecting melamine in aqueous solution
Deposition and characterization of Sb and Cu doped nanocrystalline SnO2 thin films fabricated by the photochemical method
Deposition and microstructure characterization of atmospheric plasma-sprayed ZnO coatings for NO2 detection
Depot作为妇科用药缓控释剂型开发和临床应用进展
Deposition of TiN by plasma activated EB-PVD: Activation by thermal electron emission from molten niobium
Deposition of Amorphous Zinc Oxide Thin Film at Room Temperature and Its Resistive Switching Characteristics
Deposition of Ag nanoparticles on g-C3N4 nanosheet by N,N-dimethylformamide: Soft synthesis and enhanced photocatalytic activity
Depolymerization Model for Coal Devolatilization: Bridges and Side Chains as the Reaction Centers
Deposition of NiCrBSi coatings by atmospheric plasma spraying and dry-ice blasting: Microstructure and wear resistance
Depolymerization of nylon 6 in mixtures of the ionic liquid and water
Deposition and distribution characteristics of air-assisted electrostatic spraying by wind-curtain
Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process
Depolymerization of Free-Radical Polymers with Spin Migrations
Depositing and alloying on the inner surface of Gasar Cu pores by plating and annealing treatment
Deposition Time Dependent Size of Si Quantum Dots Embedded in Amorphous SiC Matrix
Depolymerization of renewable resources-lignin by sodium hydroxide as a catalyst and its applications to epoxy resin
Deposition, diffusion, and aggregation on Leath percolations: A model for nanostructure growth on nonuniform substrates
Depositional characteristics and models of the modern braided river delta in the Daihai Lake, Inner Mongolia
Deposition temperature dependent optical and electrical properties of ALD HfO2 gate dielectrics pretreated with tetrakisethylmethylamino hafnium
Deposition and application of CVD diamond films on the interior-hole surface of silicon carbide compacting dies
Deposition and heat treatment of CuAlNi shape memory thin films
Deposition of PLCT thick films by a modified sol-gel processing
Deposition Methods and Properties of Polycrystalline CdS Thin Films
Deposition and Structures Analysis of Amorphous SiNx Films Prepared by Magnetron Sputtering
Deposition parameters to improve the fouling-release properties of thin siloxane coatings prepared by PACVD
Deposition of platinum nanoparticles on carbon nanotubes by supercritical fluid method
Depolarization and CaM kinase IV modulate NMDA receptor splicing through two essential RNA elements
Deposition of p-type microcrystalline silicon film and its application in microcrystalline silicon solar cells
Deposition of NaGd(WO4)(2):Eu3+/Bi3+ films on glass substrates and potential applications in white light emitting diodes
Depositing CdS nanoclusters on carbon-modified NaYF4:Yb,Tm upconversion nanocrystals for NIR-light enhanced photocatalysis
Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor
Deposition of morphology-tailored PbS thin films by surfactant-enhanced aerosol assisted chemical vapor deposition
Deposition of Sm2O3-doped CeO2 layers using the MOCVD method
Deposition behaviour of nickel phosphorus coating on magnesium alloy in a weak corrosive electroless nickel plating bath
Depopulation of Single-Phthalocyanine Molecular Orbitals upon Pyrrolic-Hydrogen Abstraction on Graphene
Depositional development of the Muskeg Lake crevasse splay in the Cumberland Marshes (Canada)
Deposition Mechanism of Aluminum Oxide on Quantum Dot Films at Atmospheric Pressure and Room Temperature
Deportation experiences and depression among US citizen-children with undocumented Mexican parents
Deposition mechanism and characterizations of CuInS2 film prepared by one-step electro-deposition
Depot-medication compliance for patients with psychotic disorders: the importance of illness insight and treatment motivation
Deposition temperature induced conduction band changes in zinc tin oxide buffer layers for Cu(In,Ga)Se-2 solar cells
Deposition kinetics and characterization of stable ionomers from hexamethyldisiloxane and methacrylic acid by plasma enhanced chemical vapor deposition
Deposition of Electrically Conductive Coatings on Castable Polyurethane Elastomers by the Flame Spraying Process
Depolarized FRET (depolFRET) on the cell surface: FRET control by photoselection
Deposition, structure, physical and invitro characteristics of Ag-doped beta-Ca-3(PO4)(2)/chitosan hybrid composite coatings on Titanium metal
Deposition of Functional Plasma Polymers Influenced by Reactor Geometry in Capacitively Coupled Discharges
Depotentiation of intact rat cardiac muscle unmasks an Epac-dependent increase in myofilament Ca2+ sensitivity
Deposition Behavior of WC-12Co Particles on Different Substrates Sprayed by HVOF
Deposition and characterization of B4C/CeO2 multilayers at 6.x nm extreme ultraviolet wavelengths
Depolymerization of microcrystalline cellulose by the combination of ultrasound and Fenton reagent
Deposition and self-weight consolidation of a shrinking fill
Depositional facies and sequence of the latest Pleistocene to Holocene incised valley fill in Kushiro Plain, Hokkaido, northern Japan
Deposition mechanisms of yttria-stabilized zirconia coatings during plasma spray physical vapor deposition
Depositional Environment of Mio-Pliocene Siwalik Sedimentary Strata from the Darjeeling Himalayan Foothills, India: A Palynological Approach
Deposition of Visible Light Active Photocatalytic Bismuth Molybdate Thin Films by Reactive Magnetron Sputtering
Deposition of gold and silver on porous silicon and inside the pores
Deposition and slag flow modeling with SPH for a generic gasifier with different coal ashes using fusibility data
Deposition and properties of a multilayered thermal barrier coating
Deposition and characterization of ZnO films using microplasma at atmospheric pressure
Depot-Specific Changes in Fat Metabolism with Aging in a Type 2 Diabetic Animal Model
Deposition of Plasma-Polymerized Polyacrylic Acid Coatings by a Non-Equilibrium Atmospheric Pressure Nanopulsed Plasma Jet
Deposition of Nd-Doped Fe2O3 Nanoparticles on Cenosphere by Hydrothermal Method
Depolymerization of Different Organosolv Lignins in Supercritical Methanol, Ethanol, and Acetone To Produce Phenolic Monomers
Deposition of MnO2 nanoneedles on carbon nanotubes and graphene nanosheets as electrode materials for electrochemical capacitors
Deposition and characterisation of shear-mode ZnO sensor and micro-cantilever for contact sensing and nanoactuation
Depositional environments and ichnology of Upper Cretaceous deep-marine deposits in the Sistan Suture Zone, Birjand, Eastern Iran
Depositional architecture of a mixed travertine-terrigenous system in a fault-controlled continental extensional basin (Messinian, Southern Tuscany, Central Italy)
Deposit of pesticides without and with adjuvants on citrus seedlings following different intervals of artificial rain
Depot injectable atorvastatin biodegradable in situ gel: development, optimization, in vitro, and in vivo evaluation
Depositional environment, diagenesis, and geochemistry of Devonian Bahram formation carbonates, Eastern Iran
Depositional evolution in a estuarine lagoonal system under a port influence in Northeastern Brazil
Deposition of Non-Fouling PEO-Like Coatings Using a Low Temperature Atmospheric Pressure Plasma Jet
Deposition and dielectric characterization of strontium and tantalum-based oxide and oxynitride perovskite thin films
Deposition characteristics of the double nozzles near-field electrospinning
Deposition Form and Bioaccessibility of Keto-carotenoids from Mamey Sapote (Pouteria sapota), Red Bell Pepper (Capsicum annuum), and Sockeye Salmon (Oncorhynchus nerka) Filet
Depositional paleoenvironment and hydrocarbon source potential of the Oligocene Dabaa Formation, north Western Desert, Egypt: A palynofacies approach
Deposition of annual growth lines in the apex of the common limpet (Patella vulgata) from Shetland Islands, UK and Norway: Evidence from field marking and shell mineral content of annual line deposition
Deposition of Water-Stable Coatings Containing Carboxylic Acid Groups by Atmospheric Pressure Cold Plasma Jet
Deposition of binary Pd-Rh catalysts on nanostructured carbon supports for non-enzymatic glucose oxidation
Deposit build-up and ash behavior in dual fluid bed steam gasification of logging residues in an industrial power plant
Depositional environments and diagenesis of a carbonate till from a Quaternary paleoglacier sequence in the Southern Velebit Mountain (Croatia)
Depot Medroxyprogesterone Acetate Use Is Associated With Elevated Innate Immune Effector Molecules in Cervicovaginal Secretions of HIV-1-Uninfected Women
Deposit heterogeneity and the dynamics of the organic semiconductors P3HT and PCBM solution under evaporation
Deposition and characterization of organic polymer thin films using a dielectric barrier discharge with different C2Hm/N-2 (m=2, 4, 6) gas mixtures
Deposition Removal of Monodisperse and Polydisperse Submicron Particles by a Negative Air Ionizer
Deposition of Alumina Films on Interdigital Transducer/ZnO/Glass Substrates by Electron Beam Evaporation to Improve the Characteristics of Surface Acoustic Wave Devices
Deposition of Fluorine-Containing Thin Film by Atmospheric Pressure Plasma Jet and Film Surface Structural Transition
Deposition of cadmium sulfide and zinc sulfide thin films by aerosol-assisted chemical vapors from molecular precursors
Deposition of BiOBr thin films by thermal evaporation and evaluation of its photocatalytic activity
Deposition of ZnO flowers on the surface of g-C3N4 sheets via hydrothermal process
Depot Medroxyprogesterone Acetate in Combination with a Twice-Daily Lopinavir-Ritonavir-Based Regimen in HIV-Infected Women Showed Effective Contraception and a Lack of Clinically Significant Interactions, with Good Safety and Tolerability: Results of the ACTG 5283 Study
Deposition of DLC/oxynitriding Films onto JIS SKD11 Steel by Bipolar-pulsed PECVD
Deposition, structure and properties of polyamide-CdSe-CdS composite material using sorption diffusion method
Deposition of a Mo doped GaN thin film on glass substrate by thermionic vacuum arc (TVA)
Deposition and characterization of graded Cu(In1-xGax)Se-2 thin films by spray pyrolysis
Depositional environment, organic matter characterization and hydrocarbon potential of Middle Miocene sediments from northeastern Bulgaria (Varna-Balchik Depression)
Deposition of wear-resistant coatings using a combined source of metal atoms and fast gas molecules
Deposition of beta-Ga2O3 layers by sublimation on sapphire substrates of different orientations
Deposits of historical and paleotsunamis on the coast of eastern Primorye
Depositional style and tectonostratigraphic evolution of El Bierzo Tertiary sub-basin (Pyrenean orogen, NW Spain)
Depositional system and palaeoclimatic interpretations of Middle to Late Pleistocene travertines: Kocaba, Denizli, south-west Turkey
Deposition of chromium nitride coatings using vacuum arc plasma in increased negative substrate bias voltage
Deposition of nanoparticle multilayers to improve mechanical properties of denim fabrics
Deposition and characterization of multilayer DLC:Mo thin films grown on silicon substrate by off-axis pulsed laser deposition technique
Deposit loading and its effect on co-eruptive volcano deformation
Deposition of Quantum Dots in a Capillary Tube
Deposition of Ni Coatings by Electrolytic Plasma Processing
Deposition, opto-electronic and structural characterization of polymorphous silicon thin films to be applied in a solar cell structure
Depositional Environment of the Paleoproterozoic Yuanjiacun Banded Iron Formation in Shanxi Province, China
Depositional and detrital zircon geochronological records of Carboniferous system in Wushi, Northwest Tarim Basin: Implications for tectonic evolution
Deposition and characterization of TiZrV-Pd thin films by dc magnetron sputtering
Deposition history and ecological risk assessment of heavy metals in sediments from Xuwen Coral Reef Reserve, China
Deposition behavior of TiB2 by microwave heating chemical vapor deposition (CVD)
Depositional facies and stratal cyclicity of dolomites in the Lower Qiulitag Group (Upper Cambrian) in northwestern Tarim Basin, NW China
Depositional variability of estuarine intertidal sediments and implications for metal distribution: An example from Moreton Bay (Australia)
Deposition of Cobalt-Nickel Hybrid Electro-Electroless Deposited (HEED) Modulated Multilayers
Deposition of Lanthanum Strontium Cobalt Ferrite (LSCF) Using Suspension Plasma Spraying for Oxygen Transport Membrane Applications
Deposition of diamond coatings on Fe-based substrates with Al and Al/AlN interlayers
Deposition of ZnO Nanocrystals on Fe3O4 Nanocubes and Their Special Luminescent and Magnetic Properties
Deposition From a Sour Heavy Oil Under Incipient Coking Conditions: Effect of Surface Materials and Temperature
Depositional environment and hydrocarbon potential of the Middle Triassic strata of the Sverdrup Basin, Canada
Depolarising bipolarity: Clinical considerations
Depositional environment of Late Cretaceous to Eocene organic-rich marls from Jordan
Deposition, exhumation, and paleoclimate of an ancient lake deposit, Gale crater, Mars
Depositional evolution of the Upper Carboniferous - Lower Permian Wordiekammen carbonate platform, Nordfjorden High, central Spitsbergen, Arctic Norway
Deposition and Dissolution of Lithium through Lithium Phosphorus Oxynitride Thin Film in Some Ionic Liquids
Deposition and Dissolution of Lithium through Lithium Phosphorus Oxynitride Thin Film in Lithium Bis(trifluoromethylsulfonyl)amide-Glyme Solvate Ionic Liquid
Deposition and characterization of E-paint on magnesium alloys
Depositional environment and age of some key Late Pliocene to Early Quaternary deposits on the underfilled Cedrino paleovalley (Orosei): Insight into the Neogene geodynamic evolution of Sardinia
Deposition of plasmonic silver nanoparticles onto semiconducting oxide nanosheets and their photochromic behavior
Deposition of Zn-containing films using atmospheric pressure plasma jet
Deposition of Workability-Enhancing Disposable Thick Fe Deposits on Fe-Si Alloy Sheets Using Thermal and Kinetic Spray Processes
Depolarized light scattering from prolate anisotropic particles: The influence of the particle shape on the field autocorrelation function
Deposition and Self-Assembly of Large Magnetic Molecules
Deposition of Poly(diphenylamine-co-3-aminobenzonitrile)/Palladium Nanocomposite Film and Evaluation of Electrocatalytic Activity Toward Borohydride Oxidation
Deposition of Fe3O4 on oxidized activated carbon by hydrazine reducing method for high performance supercapacitor
Deposition of thin Si and Ge films by ballistic hot electron reduction in a solution-dripping mode and its application to the growth of thin SiGe films
Deposition of Nutrients From Harmattan Dust in Ghana, West Africa
Depositional and preservational environments of the Ediacara Member, Rawnsley Quartzite (South Australia): Assessment of paleoenvironmental proxies and the timing of %26apos;ferruginization%26apos;
Deposition and reduction of infinite-layer Sr1-xLaxCuO2 films
Depositional provinces, dispersal, and origin of terrigenous sediments along the SE South American continental margin
Deposition and characterization of amorphous aluminum nitride thin films for a gate insulator
Depositing alpha-mangostin nanoparticles to sebaceous gland area for acne treatment
Deposition of silicon-doped diamond-like carbon films by plasma-enhanced chemical vapor deposition using an intermittent supply of organosilane
Deposition of Iron Oxide Nanoparticles onto an Oxidic Support Using a Novel Gas-Expanded Liquid Process to Produce Functional Fischer-Tropsch Synthesis Catalysts
Deposition and immersion-mode nucleation of ice by three distinct samples of volcanic ash
Depositional modes and lake-level variability at Lake Towuti, Indonesia, during the past similar to 29 kyr BP
Depolymerization of Cellulolytic Enzyme Lignin for the Production of Monomeric Phenols over Raney Ni and Acidic Zeolite Catalysts
Deposition of bioactive human epidermal growth factor in the egg white of transgenic hens using an oviduct-specific minisynthetic promoter
Deposition of phase pure nickel sulfide thin films from bis(O-alkylxanthato)-nickel(II) complexes by the aerosol assisted chemical vapour deposition (AACVD) method
Deposition of Ge23Sb7S70 chalcogenide glass films by electrospray
Deposition of highly textured AlN thin films by reactive high power impulse magnetron sputtering
Deposition of Macro Porous Low Temperature Shift Catalysts Over a Micro-Channel Plate and Their Catalytic Activity
Depositional environment in great Indian desert using grain size parameters and its chemical characterization
Deposition of a Silicon Carbide Reinforced Metal Matrix Composite (P25) Layer Using CO2 Laser
Depolymerizable polymers: preparation, applications, and future outlook
Depolarizing field in ultrathin electrocalorics (vol 92, 064101, 2015)
Depolarizing field in ultrathin electrocalorics
Deposition of high-density Au nanoparticles on ITO glass by centrifugation
Deposition of colloidal particles in a microchannel at elevated temperatures
Deposition of uniform Pt nanoparticles with controllable size on TiO2-based nanowires by atomic layer deposition and their photocatalytic properties
Deposition of Mercury in Forests along a Montane Elevation Gradient
Deposition-mode ice nucleation reexamined at temperatures below 200 K
Deposition of nanostructures derived from electrostatically stabilised TiO2 aqueous suspension onto a biocomposite
Deposition of calcium hydroxyapatite on negatively charged polyphosphazene surfaces
Depositional system and facies analysis of travertine deposits: Badab-e Surt Spring Mazandaran, Iran
Deposited grants buy time in Brazil
Deposition of silver nanoparticles into silicon/carbon composite as a high-performance anode material for Li-ion batteries
Depositional environments, sediment characteristics, palaeoecological analysis and environmental assessment of an internationally protected shallow Mediterranean lagoon, Gialova Lagoon - Navarino Bay, Greece
Deposition of aerosol particles from a subway microenvironment in the human respiratory tract
Deposition and dispersion of aerosols over triangular cylinders in a two-dimensional channel; effect of cylinder location and arrangement
Deposition temperature and UV irradiation effects on the H2S sensing properties of Te thin films
Deposition of boehmite on carbon nanofibers using aluminum alkoxide and its thermal transformation
Depolymerizable poly(benzyl ether)-based materials for selective room temperature recycling
Deposit formation from lubricant degradation: a uniform layer deposition model
Deposition of the Upper Rewa Sandstone Formation of proterozoic Rewa group of the Vindhyan Basin, MP, India: A Reappraisal
Deposition of particles in the alveolar airways: Inhalation and breath-hold with pharmaceutical aerosols
Deposition of tetracene thin films on SiO2/Si substrates by rapid expansion of supercritical solutions using carbon dioxide
Depolymerization of polysaccharides from Opuntia ficus indica: Antioxidant and antiglycated activities
Depositional architecture and sequence stratigraphy of the Oligo-Miocene Asmari platform; Southeastern Izeh Zone, Zagros Basin, Iran
Depolymerization of Poly(butylene terephthalate) into Terephthalic Acid and Tetrahydrofuran in High-temperature Liquid Water
Depositional, diagenetic and stratigraphic aspects of Macae Group carbonates (Albian): example from an oilfield from Campos Basin
Depositing Catalyst Layers in Polymer Electrolyte Membrane Fuel Cells: A Review
Depositional evidence for the Kamikaze typhoons and links to changes in typhoon climatology
Depolarization affects the lateral microdomain structure of yeast plasma membrane
Deposition of MnO Anode and MnO2 Cathode Thin Films by Plasma Enhanced Atomic Layer Deposition Using the Mn(thd)(3) Precursor
Deposition and characterization of AlN thin films on ceramic electric insulators using pulsed DC magnetron sputtering
Depolymerization of Oxidized Lignin Catalyzed by Formic Acid Exploits an Unconventional Elimination Mechanism Involving 3c-4e Bonding: A DFT Mechanistic Study
Depositional sequences in a foreland basin (north-western domain of the continental Duero basin, Spain)
Deposition and focused ion beam milling of anticorrosive CrC coatings on tool steel substrates
Depolarization of Luminescence from Dye Molecules in Solutions or Embedded in Zeolite Pores
Deposition of Al doped ZnO layers with various electrical types by atomic layer deposition
Deposition damage evaluation of fluorine doped silicon oxide using simple damage monitoring system
Deposition of nanolayered CrN/AlBN thin films by cathodic arc deposition: Influence of cathode arc current and bias voltage on the mechanical properties
Deposition and characterization of polycrystalline ZnS films prepared using a chemical bath deposition technique in an aqueous/ethanolic solution (Retracted article. See vol. 83, artn no. 069802, 2011)
Depolarization in H2O-He collisions
Deposition of thin hydroxyapatite films by 335 nm Nd:YAG laser ablation
Deposition and release of chlorhexidine from non-ionic and anionic polymer matrices
Deposition of radon progeny on skin surfaces and resulting radiation doses in radon therapy
Deposition of gold-titanium and gold-nickel coatings on electropolished 316L stainless steel bipolar plates for proton exchange membrane fuel cells
Deposition of Bio-Integration Ceramic Hydroxyapatite by Pulsed-Pressure MOCVD Using a Single Liquid Precursor Solution
Deposition of SBA-15 layers on Fecralloy monoliths by washcoating
Deposition of crystalline copper films from tetranuclear copper (II) complexes without application of reducing atmosphere
Deposition of Silicon Oxide Hard Coatings by Low-Temperature Radio-Frequency Plasmas
Deposition of Silver Dentritic Nanostructures on Silicon for Enhanced Fluorescence
Depositional continuity of seasonally laminated tufas: Implications for delta O-18 based palaeotemperatures
Depo-Provera (R) Treatment Does Not Abrogate Protection from Intravenous SIV Challenge in Female Macaques Immunized with an Attenuated AIDS Virus
Depolarization-Induced Ca2+ Entry Preferentially Evokes Release of Large Quanta in the Developing Xenopus Neuromuscular Junction
Deposition rates of high power impulse magnetron sputtering: Physics and economics
Depot-Specific Variation in the Osteogenic and Adipogenic Potential of Human Adipose-Derived Stromal Cells
Depolymerization of lignocellulosic biomass to fuel precursors: maximizing carbon efficiency by combining hydrolysis with pyrolysis
Deposition and spatial distribution of insecticides following fogger, perimeter sprays, spot sprays, and crack-and-crevice applications for treatment and control of indoor pests
Deposition and characterization of pulsed direct current magnetron sputtered Al95.5Cr2.5Si2 (N1-xOx) thin films
Deposition of hole-transport materials in solid-state dye-sensitized solar cells by doctor-blading
Deposition Dynamics of Hydrogenated Silicon Clusters on a Crystalline Silicon Substrate under Typical Plasma Conditions
Depositional and diagenetic processes of Qa Khanna playa, North Jordan basaltic plateau, Jordan
Depositional seals in polyacrylamide-amended soils of varying clay mineralogy and texture
Deposition of palladium catalyzed copper films by the displacement of two immiscible supercritical phases and subsequent reaction
Deposition and metabolism of inhaled ciclesonide in the human lung
Deposition apparatus to study the effects of polymers and asphaltenes upon wax deposition
Deposition of trans Fatty Acid from Industrial Sources and Its Effect on Different Growth Phases in Rats
Deposition of particles from polydisperse suspensions in microfluidic systems
Depositional history of sedimentary linear alkylbenzenes (LABs) in a large South American industrial coastal area (Santos Estuary, Southeastern Brazil)
Depositional setting, petrology and chemistry of Permian coals from the Parana Basin: 2. South Santa Catarina Coalfield, Brazil
Depositional age and provenance of the Itajai Basin, Santa Catarina State, Brazil: Implications for SW Gondwana correlation
Deposition from "Waxy" Mixtures under Turbulent Flow in Pipelines: Inclusion of a Viscoplastic Deformation Model for Deposit Aging
Deposition of Carboxymethylcellulose-Coated Zero-Valent Iron Nanoparticles onto Silica: Roles of Solution Chemistry and Organic Molecules
Depolarization, Bacterial Membrane Composition, and the Antimicrobial Action of Ceragenins
Deposition, Imaging, and Clearance: What Remains to be Done?
Deposition of ZnO Films by Combustion Flame Pyrolysis of Solution Precursors
Deposition of fibrous nanostructure by ultrafast laser ablation
Deposition of Mercury Species in the Ny-Alesund Area (79 degrees N) and Their Transfer during Snowmelt
Depolarization of light in turbid media: a scattering event resolved Monte Carlo study
Depolarization of surface-attached hypothalamic mouse neurons studied by acoustic wave (thickness shear mode) detector
Deposition and characterization of flame-sprayed aluminum on cured glass and basalt fiber-reinforced epoxy tubes
Deposition of Inhaled Ultrafine Aerosols in Replicas of Nasal Airways of Infants
Deposition of complement C3c, immunoglobulin (Ig)G4 and IgG at the basement membrane of pancreatic ducts and acini in autoimmune pancreatitis
Depositional response to the Late Triassic ascent of calcareous plankton in pelagic mid-oceanic plate deposits of Japan
Depolarizing stimuli cause persistent and selective loss of orexin in rat hypothalamic slice culture
Deposition-precipitation with Urea to prepare Au/Mg(OH)(2) catalysts: Influence of the preparation conditions on metal size and load
Depositions of SrRuO3 thin films on oxide substrates with liquid-delivery spin MOCVD
Depositional model and paleodepth reconstruction of a coral-rich, mixed siliciclastic-carbonate system: the Burdigalian of Capo Testa (northern Sardinia, Italy)
Deposition and characterisation of MoSi2 films
Deposition of intact tetrairon(III) single molecule magnet monolayers on gold: an STM, XPS, and ToF-SIMS investigation
Deposition of Evaporating Droplets in a Decelerating Boundary Layer with Wall Suction: Mathematical Analysis
Depot-specific differences in the insulin response of adipose-derived stromal cells
Deposition of nanostructurated gold on n-doped silicon substrate by different electrochemical methods
Depolarization for quantum channels with higher symmetries
Deposition processes of ionic constituents to snow cover
Deposition of Size-Selected Cu Nanoparticles by Inert Gas Condensation
Depolarization scale metric Q(M) as a criterion to identify retardant systems or pure phase shifters
Deposition Velocity onto an Inverted Flat Surface in a Laminar Parallel Flow
Deposition of conductivity-switching polyimide film by molecular layer deposition and electrical modification using scanning probe microscope
Depolymerization of sodium alginate under hydrothermal conditions
Deposit games with reinvestment
Deposition of chemically reactive and repellent sites on biosensor chips for reduced non-specific binding
Deposition of unhydrogenated amorphous carbon films by sublimation of C-60 fullerene in electron beam excited plasma
Depositional record of historic lahars in the upper Whangaehu Valley, Mt. Ruapehu, New Zealand: implications for trigger mechanisms, flow dynamics and lahar hazards
Deposition rate of SiN film grown by using a pulsed-PECVD at room-temperature
Depolarization Temperatures and Piezoelectric Properties of (Bi0.5Na0.5)TiO3 Ceramics and Single Crystal
Deposition Yield and Physical Properties of Carbon Films Deposited by Focused-Ion-Beam Chemical Vapor Deposition
Deposition and characterization of NiOx coatings by magnetron sputtering for application in dye-sensitized solar cells
Deposition of thin films of organic-inorganic hybrid materials based on aromatic carboxylic acids by atomic layer deposition
Deposition of selenium thin layers on gold surfaces from sulphuric acid media: Studies using electrochemical quartz crystal microbalance, cyclic voltammetry and AFM
Depositional environments and chronology of Late Weichselian glaciation and deglaciation in the central North Sea
Deposition of Well-Aligned ZnO Nanorods at 50 degrees C on Metal, Semiconducting Polymer, and Copper Oxides Substrates and Their Structural and Optical Properties
Deposition of tin oxide, iridium and iridium oxide films by metal-organic chemical vapor deposition for electrochemical wastewater treatment
Deposition of Ultrathin Polythiourea Films by Molecular Layer Deposition
Deposition and characterization of magnetic Ti-Fe-C nanocomposite thin films
Deposition of metallic coatings on polymer surfaces using cold spray
Deposition of reactive nitrogen during the Rocky Mountain Airborne Nitrogen and Sulfur (RoMANS) study
Deposition and biokinetics of inhaled nanoparticles
Deposition of Functionalized Cr7Ni Molecular Rings on Graphite from the Liquid Phase
Depositional processes across the Sinu Accretionary Prism, offshore Colombia
Deposition and deformation of fluvial-lacustrine sediments of the Upper Triassic-Lower Jurassic Whitmore Point Member, Moenave Formation, northern Arizona
Deposition and Aggregation Kinetics of Rotavirus in Divalent Cation Solutions
Depolarizing shift in the GABA-induced current reversal potential by lidocaine hydrochloride
Deposition rate of anatase films by ultrasonic spray pyrolysis
Deposition of micron liquid droplets on wall in impinging turbulent air jet
Deposition of C4d and C3d in cardiac transplants: A factor in the development of coronary artery vasculopathy
Deposition of alumina from dimethylaluminum isopropoxide
Deposition of metal clusters on single-layer graphene/Ru(0001): Factors that govern cluster growth
Deposition of High-Quality HfO2 on Graphene and the Effect of Remote Oxide Phonon Scattering
Depositional ice nucleation on solid ammonium sulfate and glutaric acid particles
Deposition and Air Concentrations of Permethrin and Naled Used for Adult Mosquito Management
Depositional processes and the inferred history of ice-margin retreat associated with the deglaciation of the Cordilleran Ice Sheet: The sedimentary record from Flathead Lake, northwest Montana, USA
Deposition and extension approach to find longest common subsequence for thousands of long sequences
Deposition of thick Co-rich CoPtP films with high energy product for magnetic microelectromechanical applications
Deposition and etching of fluorocarbon thin films in atmospheric pressure DBDs fed with Ar-CF4-H-2 and Ar-CF4-O-2 mixtures
Depositional systems, composition and geochemistry of Triassic rifted-continental margin redbeds of the Internal Rif Chain, Morocco
Depot-specific modulation of adipokine levels in rat adipose tissue by diet-induced obesity: The effect of aerobic training and energy restriction
Deposition and cellular interaction of cancer-inducing particles in the human respiratory tract: Theoretical approaches and experimental data
Depositional environment, sequence stratigraphy and geochemistry of Lower Cretaceous carbonates (Fahliyan Formation), south-west Iran
Deposition of Acetylated Histones by RNAP II Promoter Clearance May Occur at Onset of Zygotic Gene Activation in Preimplantation Mouse Embryos
Deposition of serpentine-bearing conglomerate and its implications for Early Cretaceous tectonics in northern Japan
Deposition of amorphous carbon nitride films using Ar/N-2 supermagnetron sputter
Deposition of carotenoids in egg yolk by short-term supplement of coloured carrot (Daucus carota) varieties as forage material for egg-laying hens
Deposition of alpha-SiMo12O404--[Ru(bipyridine)(terpyridine)Cl](+) multilayer film on single wall carbon nanotube modified glassy carbon electrode: Improvement of the electrochemical properties and chemical stability
Deposition and canopy exchange processes in central-German beech forests differing in tree species diversity
Deposition of copper-doped iron sulfide (Cu(X)Fe1-S-X) thin films using aerosol-assisted chemical vapor deposition technique
Deposition of rod-shaped antimony sulfide thin films from single-source antimony thiosemicarbazone precursors
Deposition of Brownian particles during evaporation of two-dimensional sessile droplets
Deposition of Pd Nanoparticles on InP by Electrophoresis: Dependence on Electrode Polarity
Deposition of oxidation resistant zinc coatings on copper and leaded brass substrates with pack cementation process
Deposition Processes in the Metallorganic Chemical Vapor Deposition of CeO2 Films
Deposition in the Kuznetsk Basin, Siberia: Insights into the Permian-Triassic transition and the Mesozoic evolution of Central Asia
Depolarization Induced Suppression of Excitation and the Emergence of Ultraslow Rhythms in Neural Networks
Deposition pattern, effect on nitrogen removal and component analysis of deposited sludge in a carrousel oxidation ditch
Depositional age and provenance of the Wutai Group: Evidence from zircon U-Pb and Lu-Hf isotopes and whole-rock geochemistry
Depot specific differences in the adipogenic potential of precursors are mediated by collagenous extracellular matrix and Flotillin 2 dependent signaling
Deposition, heat treatment and characterization of two layer bioactive coatings on cylindrical PEEK
Depolarization of sperm membrane potential is a common feature of men with subfertility and is associated with low fertilization rate at IVF
Depositional controls on sediment body architecture in the Eagle Ford/Boquillas system: Insights from outcrops in west Texas, United States
Deposition of porous titanium oxide thin films as anti-fogging and anti-reflecting medium
Depositional environment, ichnological features and oxygenation of Permian to earliest Triassic marine sediments in central Spitsbergen, Svalbard
Depositional environment of the Owadow-Brzezinki conservation Lagerstatte (uppermost Jurassic, central Poland): evidence from microfacies analysis, microfossils and geochemical proxies
Deposition of W/a-C:H:Zr and W/a-C:H:W multilayer coatings on substrate made of porous graphite by arc - Electron beam hybrid method
Deposition of LiF onto Films of Fullerene Derivatives Leads to Bulk Doping
Depo-Provera Versus Norethisterone Acetate in Management of Endometrial Hyperplasia Without Atypia
Depositional biofacies model for post-OAE1a Aptian carbonate platforms of the western Maestrat Basin (Iberian Chain, Spain)
Depositional environment reconstruction of the Maragheh Formation, East Azarbaijan, Northwestern Iran
Deposition of Cu/a-C:H Nanocomposite Films
Deposition of Poly(Ethylene Oxide)-Like Plasma Polymers on Inner Surfaces of Cavities by Means of Atmospheric-Pressure SDBD-Based Jet
Depositional processes and stratigraphic architecture within a coarse grained rift-margin turbidite system: The Wollaston Forland Group, east Greenland
Depotentiation from Potentiated Synaptic Strength in a Tristable System of Coupled Phosphatase and Kinase
Deposition of Carbon Nanotube Films on Polyamide and Polypropylene Substrates: A Computer Simulation Approach
Depositional Features in Tourist Beaches of Chennai Metropolis, SE Coast of India: Inferences from Grain Size Studies
Deposition of TiO2 Film on Duplex Stainless Steel Substrate Using the Cathodic Cage Plasma Technique
Deposition of (Ti, Ru)O-2 and (Ti, Ru, Ir)O-2 oxide coatings prepared by sol-gel method on titanium
Deposition of Alumina Films on Si (100) Substrate Using a Low Energy Dense Plasma Focus Device
Depolymerizable Poly(O-vinyl carbamate-a/t-sulfones) as Customizable Macromolecular Scaffolds for Mucosal Drug Delivery
Deposition Kinetics of Graphene Oxide on Charged Self-Assembled Monolayers
Deposition, accumulation, and alteration of Cl-, NO3-, ClO4- and ClO3- salts in a hyper-arid polar environment: Mass balance and isotopic constraints
Deposition of calcium phosphate coatings using condensed phosphates (P2O74- and P3O105-) as phosphate source through induction heating
Deposition of Nano Tungsten Oxide on Glass Mat Using Hot Filament Chemical Vapor Deposition for High Catalytic Activity
Depot- and sex-specific effects of maternal obesity in offspring%26apos;s adipose tissue
Deposition and Characterization of Y-doped CaZrO3 Electrolyte Film on a Porous SrTi0.8Fe0.2O3-delta Substrate
Depolymerization of cellulose to processable glucans by non-thermal technologies
Deposition on a Cooled Nozzle Guide Vane With Nonuniform Inlet Temperatures
Depolymerization of Fucosylated Chondroitin Sulfate with a Modified Fenton-System and Anticoagulant Activity of the Resulting Fragments
Deposition and XPS studies of dual sputtered BCN thin films
Deposition of amyloid beta in the walls of human leptomeningeal arteries in relation to perivascular drainage pathways in cerebral amyloid angiopathy
Deposition Kinetics of Bioinspired Phenolic Coatings on Titanium Surfaces
Depositing SiO2 on Al2O3: a Route to Tunable Bronsted Acid Catalysts
Depoliticizing water conflict: functional peacebuilding in the Red Sea-Dead Sea Water Conveyance project
Depolymerization of microcrystalline cellulose to value added chemicals using sulfate ion promoted zirconia catalyst
Deposition of hydroxyapatite-incorporated TiO2 coating on titanium using plasma electrolytic oxidation coupled with electrophoretic deposition
Deposition and characterization of a CoHCF nanorod array in a templated ormosil film on an electrode and application to electrocatalysis
Depositional history of the Epiligurian wedge-top basin in the Val Marecchia area (northern Apennines, Italy): a revision of the Burdigalian-Tortonian succession
Deposition of tetraferrocenylporphyrins on ITO surfaces for photo-catalytic O-2 activation
Deposition of Gold Nanoparticles on Niobium Pentoxide with Different Crystal Structures for Room-Temperature Carbon Monoxide Oxidation
Depositional environment and micropore characteristics of the Ediacaran Doushantuo Formation black shale in Western Hubei, China
Depot-specific differences in angiogenic capacity of adipose tissue in differential susceptibility to diet-induced obesity
Depolymerization of Cellulose in Water Catalyzed by Phenylboronic Acid Derivatives
Deposition of silver nanoparticles onto two dimensional BiOCl nanodiscs for enhanced visible light photocatalytic and biocidal activities
Deposition of CdS, CdS/ZnSe and CdS/ZnSe/ZnS shells around CdSeTe alloyed core quantum dots: effects on optical properties
Deposition of BACE-1 Protein in the Brains of APP/PS1 Double Transgenic Mice
Deposition Order Controls the First Stages of a Metal-Organic Coordination Network on an Insulator Surface
Deposition of Metal Organic Framework Layers on Skeletal Cu Prepared from Cu-Ti Amorphous Alloy and Their Enhanced Catalytic Activities
Deposition mode of transforming growth factor-beta expressed in transgenic rice seed
Deposition, Agglomeration and Vaporization of Chromium Oxide by Cathode Polarization Change in SOFC Cathodes
Deposition of a-C:H films on inner surface of high-aspect-ratio microchannel
Depot- and gender-specific expression of NLRP3 inflammasome and toll-like receptors in adipose tissue of cancer patients
Deposition of a-C:H films on a nanotrench pattern by bipolar PBII&D
Deposition of silicon oxide coatings by atmospheric pressure plasma jet for oxygen diffusion barrier applications
Deposition and slagging in an entrained-flow gasifier with focus on heat transfer, reactor design and flow dynamics with SPH
Depolymerization of end-of-life poly(dimethylsilazane) with boron trifluoride diethyl etherate to produce difluorodimethylsilane as useful commodity
Deposition of multifunctional TiO2 and ZnO top-protective coatings for CSP application
Deposition-Temperature- and Solvent-Dependent 2D Supramolecular Assemblies of Trimesic Acid at the Liquid-Graphite Interface Revealed by Scanning Tunneling Microscopy
Depolarization of polarized polychromatic beam during propagation in a birefringent medium
Depolymerization of lignins and their applications for the preparation of polyols and rigid polyurethane foams: A review
Deposition of a hydrophilic nanocomposite-based coating on silicone hydrogel through a laser process to minimize UV exposure and bacterial contamination
Depolarization effects of Li2FeSiO4 nanocrystals wrapped in different conductive carbon networks as cathodes for high performance lithium-ion batteries
Deposition of carbon nanotubes onto aramid fibers using as-received and chemically modified fibers
Deposition of a B-modified silicide coating for Nb-Si based alloy oxidation protection
Depositional Setting and Structural Evolution of the Archean Perseverance Volcanogenic Massive Sulfide Deposit, Matagami Mining District, Quebec, Canada
Deposition and characterization of Ti2AlC MAX phase and Ti3AlC thin films by magnetron sputtering
Depositional setting of Algoma-type banded iron formation
Deposition, patterning, and utility of conductive materials for the rapid prototyping of chemical and bioanalytical devices
Deposition Kinetics and Thermal Properties of Atmospheric Plasma Deposited Methacrylate-Like Films
Depositional environment and hydrocarbon potential of marginal marine sediments of Eocene from western India: A palynofacies perspective
Deposition, diagenesis and reservoir potential of non-carbonate sedimentary rocks from the rift section of Campos Basin, Brazil
Deposition of SiC/La2Zr2O7 multi-component coating on C/SiC substrate by combining sol-gel process and slurry
Deposition, nanostructure and phase composition of suspension plasma-sprayed hydroxyapatite coatings
Deposition of Nanostructured Fluorine-Doped Hydroxyapatite Coating from Aqueous Dispersion by Suspension Plasma Spray
Deposition age of the Sangwon Supergroup in the Pyongnam basin (Korea) and the Early Tonian negative carbon isotope interval
Depot-specific effects of treadmill running and rutin on white adipose tissue function in diet-induced obese mice
Deposition Morphology and Magnetism of Co, Pt Adatoms and Small CoPt Adclusters on Ni(100) Substrate
Deposition and Characterization of Si-Doped Diamond Films Using Tetraethoxysilane onto a WC-Co Substrate
Deposition of Ag Nanoparticles on Carbon Microspheres Surface: Evaluation of Structures, Electrochemical and Optical Properties
Deposition Behavior of Nanostructured WC-23Co Particles in Cold Spraying Process
Deposition and characterization of Ga-doped ZnO coatings on polyimide flexible substrates
Depositional environment, sequence stratigraphy and sedimentary mineralization mechanism in the coal bed- and oil shale-bearing succession: A case from the Paleogene Huangxian Basin of China
Depolarization effect in optical absorption measurements of one- and two-dimensional nanostructures
Depositional evolution and genesis of K set of shelf sand ridges in the Zhujiang Formation of Huizhou sag, Pear River Mouth Basin
Deposition of Au Nanoparticles onto Poly(3,4-ethylenedioxy - thiophene) Functionalized Multi-Walled Carbon Nanotubes for Label-Free Immunosensing
Deposition features of cold sprayed copper particles on preheated substrate
Deposition of copper thin films by plasma enhanced pulsed chemical vapor deposition for metallization of carbon fiber reinforced plastics
Depositional and diagenetic controls on deeply-buried Eocene sublacustrine fan reservoirs in the Dongying Depression, Bohai Bay Basin, China
Deposition of coal and oil shale in NE China: The Eocene Huadian Basin compared to the coeval Fushun Basin
Deposition of Brominated Flame Retardants to the Devon Ice Cap, Nunavut, Canada
Depositional environment and controlling factors of source rock in the Shahejie Formation of Langgu sag
Depopulation of highly excited singlet states of DNA model compounds: Quantum yields of 193 and 245 nm photoproducts of pyrimidine monomers and dinucleoside monophosphates
Deposition of Au-N clusters on Au(111) surfaces. I. Atomic-scale modeling
Deposition of Au-N clusters on Au(111) surfaces. II. Experimental results and comparison with simulations
Deposition of AlN films on nitrided sapphire substrates by reactive DC magnetron sputtering
Deposition and ion implantation of boron nitride film
Deposition of PM2.5 Sulfate in the Spring on Urban Forests in Beijing, China
Depositing process of check dams on loess plateau in Northern Shaanxi Province
Deposition of magnetic nanoparticles suspended in the gas phase on a specific target area
Deposition of transparent and conductive ZnO films by an atmospheric pressure plasma-jet-assisted process
Deposition of Gold Nanoparticles on Polypropylene Nonwoven Pretreated by Dielectric Barrier Discharge and Diffuse Coplanar Surface Barrier Discharge
Depolarization of the Membrane Potential by Hyaluronan
Depolymerized glycosaminoglycan and its anticoagulant activities from sea cucumber Apostichopus japonicus
Depot Medroxyprogesterone Acetate Use and Periodontal Health in 15- to 44-Year-Old US Females
Deposition of Ordered Layers of Tetralactam Macrocycles and Ether Rotaxanes on Pyridine-Terminated Self-Assembled Monolayers on Gold
Deposition of platinum monolayers on gold
Deposited Thin SiO(2) for Gate Oxide on n-Type and p-Type GaN
Depolarization induced by pump edge effects in high average power laser rods
Deposition of TiAlSiN hard film by cathodic arc plasma evaporation using a single target combined with a shield filter
Deposition of Carbon Electrodes for Supercapacitors Using Atmospheric Plasma Torch
Deposits, flow characteristics, and landscape change resulting from the September 2009 South Pacific tsunami in the Samoan islands
Deposition of amorphous carbon-silver composites
Deposition of nitrogen and phosphorus on the Baltic Sea: seasonal patterns and nitrogen isotope composition
Depositional dynamics in a river diversion receiving basin: The case of the West Bay Mississippi River Diversion
Depositional age and sediment source of the auriferous Moeda Formation, Quadrilatero Ferrifero of Minas Gerais, Brazil: New constraints from U-Pb-Hf isotopes in zircon and xenotime
Deposition of gold nanoparticles on organo-kaolinite - Application in electrocatalysis for carbon monoxide oxidation
Deposition of titanium nitride layers by electric arc - Reactive plasma spraying method
Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying
Deposition and Characterization of Luminescent Eu(tta)3phen-Doped Parylene-Based Thin-Film Materials
Depositional paleoenvironment of Brazilian crude oils from unusual biomarkers revealed using comprehensive two dimensional gas chromatography coupled to time of flight mass spectrometry
Depot medroxyprogesterone acetate and epithelial ovarian cancer: a multicentre case-control study
Deposition of ZnO Nanoparticles on Calcite and Studies on their Optical Properties and Photocatalytic Activity
Deposition and characterisation of epitaxial oxide thin films for SOFCs
Deposition in supercritical fluids: from silver to semiconductors
Deposition and characterization of silver sulfide layers on the polypropylene film surface
Deposition behavior of inhaled nanostructured TiO2 in rats: fractions of particle diameter below 100 nm (nanoscale) and the slicing bias of transmission electron microscopy
Deposition of iron titanate/titania ceramic composite thin films from a single molecular precursor
Deposition of Poly(ethyleneimine)/Poly(2-ethyl-2-oxazoline) Based Comb-Branched Polymers onto Polypropylene Nonwoven Fabric Using the Layer-by-Layer Technique. Selected Properties of the Modified Materials
Deposition of superparamagnetic nanohydroxyapatite on iron-fibrin substrates: Preparation, characterization, cytocompatibility and bioactivity studies
Deposition of nanocrystalline CuS thin film from a single precursor: Structural, optical and electrical properties
Deposition and Adhesion Characterization of Ti(BN:MoS2) Based Composite Thin Films Prepared by Closed-Field Unbalanced Magnetron Sputtering
Deposition of the low resistive Ag-N dual acceptor doped p-type ZnO thin films
Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method
Deposition, characterization and biological application of epitaxial Li:ZnO/Al:ZnO double-layers
Deposition-precipitation versus anionic-exchange Au/Al2O3 catalysts: A comparative investigation towards the selective reduction of NOx
Deposition temperature effects on optical and structural properties of amorphous silicon carbide films
Deposition of titanium nitride and hydroxyapatite-based biocompatible composite by reactive plasma spraying
Depolarization of isolated horizontal cells of fish acidifies their immediate surrounding by activating V-ATPase
Deposition of naphthalene and tetradecane vapors in models of the human respiratory system
Deposition of substituted apatites with anticolonizing properties onto titanium surfaces using a novel blasting process
Deposition of antimicrobial coatings on microstereolithography-fabricated microneedles
Deposition of antibacterial of poly(1,3-bis-(p-carboxyphenoxy propane)-co-(sebacic anhydride)) 20:80/gentamicin sulfate composite coatings by MAPLE
Deposition of Silver and Gold Nanoparticles on Surface Engineered Silica Particles and Their Potential Applications
Deposition of silver nanoparticles on silica spheres and rods
Deposition of nickel oxide by direct current reactive sputtering Effect of oxygen partial pressure
Deposition of hybrid 3-GPTMS's film on AA2024-T3: Dependence of film morphology and protectiveness performance on coating conditions
Depositional processes of the Zhushadong and Mantou formations (Early to Middle Cambrian), Shandong Province, China: roles of archipelago and mixed carbonate-siliciclastic sedimentation on cycle genesis during initial flooding of the North China Platform
Depositional evolution of a lower Paleozoic portion of the Southalpine domain: the Mt. Pizzul area (Carnic Alps, Italy)
Depositional systems of the Lower Ordovician Mungok Formation in Yeongwol, Korea: implications for the carbonate ramp facies development
Deposition of nanostructured YSZ coating from spray-dried particles with no heat treatment
Depolarising and Hyperpolarising Actions of GABA(A) Receptor Activation on Gonadotrophin-Releasing Hormone Neurones: Towards an Emerging Consensus
Deposition of Al-Fe pillared bentonites and gold supported Al-Fe pillared bentonites on metallic monoliths for catalytic oxidation reactions
Deposition Temperature and Thermal Annealing Effects on the Electrical Characteristics of Atomic Layer Deposited Al2O3 Films on Silicon
Deposition of sand over a cyanobacterial soil crust increases nitrogen bioavailability in a semi-arid woodland
Depot-specific and hypercaloric diet-induced effects on the osteoblast and adipocyte differentiation potential of adipose-derived stromal cells
Deposition of titanium nitride on Si(100) wafers using plasma focus
Deposition of fractal-like soot aggregates in the human respiratory tract
Deposition of thin films of Mg2Si1-xSnx solid solution by plasma-assisted co-sputtering
Deposition of multicomponent metallic glass films by single-target magnetron sputtering
Deposition and migration of atmospheric Pb in soils from a forested silicate catchment today and in the past (Strengbach case): Evidence from Pb-210 activities and Pb isotope ratios
Deposition and dissolution of metal sulfide layers at the Hg electrode surface in seawater electrolyte conditions
Deposition of callose in young ovules of two Taraxacum species varying in the mode of reproduction
Deposition of iron sulfide thin films by AACVD from single source precursors
Deposition of iron sulfide nanocrystals from single source precursors
Depositional provenance of the Greater Himalayan Sequence, Garhwal Himalaya, India: Implications for tectonic setting
Deposition of Ultrathin Coatings of Polypyrrole and Poly(3,4-ethylenedioxythiophene) onto Electrospun Nanofibers Using a Vapor-Phase Polymerization Method
Depositional setting, fossil flora, and paleoenvironment of the Early Eocene Falkland site, Okanagan Highlands, British Columbia
Deposition of Pd into mesoporous silica SBA-15 using supercritical carbon dioxide
Deposition-order-dependent polyelectrochromic and redox behaviors of the polyaniline-prussian blue bilayer
Depositional provenance of the Himalayan metamorphic core of Garhwal region, India: Constrained by U-Pb and Hf isotopes in zircons
Depositional characteristics and constraints on the mid-Valanginian demise of a carbonate platform in the intra-Tethyan domain, Circum-Rhodope Belt, northern Greece
Deposition of lanthanum zirconium oxide high-kappa films by liquid injection atomic layer deposition
Deposition of Lanthanum Zirconium Oxide High-k Films by Liquid Injection ALD and MOCVD
Depositional dynamics in the El%26apos;gygytgyn Crater margin: implications for the 3.6Ma old sediment archive
Deposition, characterization and electrochemical evaluation of Ni-P-nano diamond composite coatings
Deposition of Na-N dual acceptor doped p-type ZnO thin films and fabrication of p-ZnO:(Na, N)/n-ZnO:Eu homojunction
Deposition of platinum patterns by a liquid process
Deposition of non-polar a-axis nanocrystalline ZnO thin films for light emitting applications
Deposition of TiO2 Nanoparticles onto Silica Measured Using a Quartz Crystal Microbalance with Dissipation Monitoring
Depositional and post-depositional setting of Maastrichtian limestone, Ariyalur Group, Cauvery Basin, South India: a geochemical appraisal
Deposition and characterization of La2Ti2O7 thin films via spray pyrolysis process
Deposition of polymer complex layers onto nonwoven textiles
Deposition of Polymer Complex Nano-Layers onto Polyester Fabrics Activated with Corona Discharges
Depositional environment, stratigraphy, structure and paleobiology of the Hatchery Creek Group (Early-?Middle Devonian) near Wee Jasper, New South Wales
Deposition of epitaxial BiFeO3/CoFe2O4 nanocomposites on (001) SrTiO3 by combinatorial pulsed laser deposition
Deposition of lignin droplets produced during dilute acid pretreatment of maize stems retards enzymatic hydrolysis of cellulose
Depolarised Rayleigh light scattering in argon layer confined between graphite plains: MD simulation
Deposition and characterization of YBCO/CeO2/YSZ/CeO2 multilayers on biaxially textured Ni substrates
Depolarizing GABA and Developmental Epilepsies
Deposition of alumina coatings on monocrystalline diamonds by sol-gel techniques
Deposition of Silver Nanoparticles on Dendrimer Functionalized Multiwalled Carbon Nanotubes: Synthesis, Characterization and Antimicrobial Activity
Deposited metamaterial thin film with negative refractive index and permeability in the visible regime
Depolymerized chitosans functionalized with bPEI as carriers of nucleic acids and tuftsin-tethered conjugate for macrophage targeting
Deposition and characterization of cold sprayed nanocrystalline NiTi
Deposition and characterization of c-axis oriented aluminum nitride films by radio frequency magnetron sputtering without external substrate heating
Deposition of Silver Nanoparticles on Multiwalled Carbon Nanotubes Grafted with Hyperbranched Poly(amidoamine) and Their Antimicrobial Effects
Depolymerization of cellulosic feedstocks using magnetically separable functionalized graphene oxide
Depollution of Acid Waste Waters Containing Cadmium Ions Using Liquid-Liquid Extraction
Deposition of iron selenide nanocrystals and thin films from tris(N,N-diethyl-N '-naphthoylselenoureato)iron(III)
Deposition of silver nanoparticles on organically-modified silica in the presence of lignosulfonate
Deposition of silver nanoparticles on carbon nanotube by chemical reduction method: Evaluation of surface, thermal and optical properties
Depolarizing/hyperpolarizing effects of the GABA(A) synapse have a beneficial role in synaptic weight resetting in the hippocampus
Deposition of PEG onto PMMA microchannel surface to minimize nonspecific adsorption
Deposition of nanocrystalline ZnO thin films on p-Si by novel galvanic method and application of the heterojunction as methane sensor
Deposition of chromium-carbon films by magnetron sputtering of chromium and carbon targets
Deposition of M-C (M = Cr, Mn, Fe) films by magnetron sputtering
Deposition of carbon nanotubes by a marine suspension feeder revealed by chemical and isotopic tracers
Deposition of silver nanobowl arrays using polystyrene nanospheres both as reagents and as the templating material
Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source
Deposition and Characterization of High-Efficiency Silicon Thin-Film Solar Cells by HF-PECVD and OES Technology
Deposition of fluorocarbon thin films on outer and inner surfaces of stainless steel mini-tubes by pulsed plasma polymerization for stents
Deposition and stripping processes of tin on gold film electrodes studied by surface conductance
Deposition of diversely textured buffer pyrolytic carbon layer in TRISO coated particle by controlled manipulation of spouted bed hydrodynamics
Deposition and release kinetics of nano-TiO2 in saturated porous media: Effects of solution ionic strength and surfactants
Deposition and activity stability of Pt-Co catalysts on carbon nanotube-based electrodes prepared by microwave-assisted synthesis
Depolymerization and Hydrodeoxygenation of Switchgrass Lignin with Formic Acid
Deposition of nano-hydroxyapatite particles utilising direct and transitional electrohydrodynamic processes
Deposition of undoped and H doped WOx (x <= 3) films in a hot-wire atomic layer deposition system without the use of tungsten precursors
Deposition of TiO2 and Ag:TiO2 thin films by the polymeric precursor method and their application in the photodegradation of textile dyes
Deposition of Copper Fine Particle by Cold Spray Process
Deposition of Ni and Pd sulfide thin films via aerosol-assisted CVD
Deposition of Carbonated Hydroxyapatite (CO(3)HAp) on Poly(Methylmethacrylate) Surfaces by Decomposition of Calcium-EDTA Chelate
Deposition of Ag nanoparticles on fluoroalkylsilane self-assembled monolayers with varying chain length
Deposition transfection technology using a DNA complex with a thermoresponsive cationic star polymer
Deposited silicon high-speed integrated electro-optic modulator
Deposition of Nickel Hydroxide Nanoparticles Derivatized from Nickel Chitosan Complex on Glassy Carbon Electrode for Oxidative Electrocatalysis
Depolarizing action of GABA on neurons of the central nervous system during early postnatal development
Deposition of Polypyrrole Thin Film through the Molecular Interaction with a Designer Peptide
Deposition of Thin Copper Layers using Copper(II) Carboxylate Complexes with tert-Butylamine as New CVD Precursors
Deposition of 1.88-billion-year-old iron formations as a consequence of rapid crustal growth
Deposition of gold nanoparticles on ZnO and their catalytic activity for hydrogenation applications
Deposition of size-selected metal clusters on inert graphite surface with atomic anchors
Depot-specific gene expression profiles during differentiation and transdifferentiation of bovine muscle satellite cells, and differentiation of preadipocytes
Deposition of metallic nanoparticles on carbon nanotubes via a fast evaporation process
Deposition of till droplets on a steel plate: simulations and experiments
Deposition of platinum on the outer surface of carbon-encapsulated silver nanoparticles
Deposition of Ru-Ni-S nanoparticles on carbon by spray-pyrolysis: Effects of solvent and other processing parameters
Deposition versus photochemical removal of PBDEs from lake superior air
Depositional History of Polychlorinated Biphenyls in a Dated Sediment Core from the Northwestern Arabian Gulf
Deposition kinetics of bacteriophage MS2 to natural organic matter: Role of divalent cations
Deposition of Cryptosporidium parvum Oocysts on Natural Organic Matter Surfaces: Microscopic Evidence for Secondary Minimum Deposition in a Radial Stagnation Point Flow Cell
Deposition-rate dependence of optical properties of titanium nanolayers
Deposition of titanium dioxide from TTIP by plasma enhanced and remote plasma enhanced chemical vapor deposition
Depolarization of neural cells induces transcription of the down syndrome critical region 1 isoform 4 via a calcineurin/nuclear factor of activated T cells-dependent pathway
Deposition of photocatalytically active TiO2 films by inkjet printing of TiO2 nanoparticle suspensions obtained from microwave-assisted hydrothermal synthesis
Deposition mechanism and structural characterization of TiO2 films produced using ESAVD method
Deposition of nanoparticles onto polysaccharide-coated surfaces: implications for nanoparticle-biofilm interactions
Deposition of oriented nanocrystalline TiO(2) thin films
Deposition of silicon-containing diamond-like carbon films by plasma-enhanced chemical vapour deposition
Depolarization in a metal-p-ferroelectric-n-semiconductor structure
Deposition of nanosized latex particles onto silica and cellulose surfaces studied by optical reflectometry
Deposition Gene Transfection Using Bioconjugates of DNA and Thermoresponsive Cationic Homopolymer
Deposition of ITO films on SiO2 substrates
Deposition and corrosion resistance of electroless Ni-PCTFE-P nanocomposite coatings
Deposition of alumina thin film by dual magnetron sputtering: Is it gamma-Al2O3?
Depolymerizing Kinesins Kip3 and MCAK Shape Cellular Microtubule Architecture by Differential Control of Catastrophe
Deposition and characterization of copper sulphide layers on the home-made polycarbonate plates
Deposition and characterization of multi-principal-element (CuSiTiYZr)C coatings
Deposition of Ag and Au-Ag alloy nanoparticle films by spray pyrolysis technique with tuned plasmonic properties
Deposition and remobilization of graphene oxide within saturated sand packs
Deposition of bismuth chalcogenide thin films using novel single-source precursors by metal-organic chemical vapor deposition
Deposition of indium tin oxide thin films by cathodic arc ion plating
Deposition of permalloy films by filtered cathodic vacuum arc
Deposition of TiO2 thin films on steel using a microwave activated chemical bath
Deposition and optoelectronic properties of ITO (In2O3:Sn) thin films by Jet nebulizer spray (JNS) pyrolysis technique
Deposition of amorphous carbon films from C(60) fullerene sublimated in electron beam excited plasma
Deposition and characterization of CuInSe2 films for solar cells using an optimized chemical route
Depot GnRH-agonist trigger for breast-cancer patient undergoing ovarian stimulation resulted in mature oocytes for cryopreservation: a case report
Deposition of porous titanium oxide thin films as anode material for dye sensitized solar cells
Depositional architecture and sequence stratigraphy of the Karoo basin floor to shelf edge succession, Laingsburg depocentre, South Africa
Deposition nucleation viewed as homogeneous or immersion freezing in pores and cavities
Depolarization after resonance energy transfer (DARET): A sensitive fluorescence-based assay for botulinum neurotoxin protease activity
Deposition temperature induced magnetic anisotropy variation in FePt-C soft/hard multilayer films
Deposition of TiO2-Based Layer on Textile Substrate: Theoretical and Experimental Study
Depot Medroxyprogesterone Acetate Increases Immune Cell Numbers and Activation Markers in Human Vaginal Mucosal Tissues
Deposition of CdS nanoparticles within free-standing both-side-open stretched TiO2 nanotube-array films for the enhancement of photoelectrochemical performance
Deposition of cadmium selenide semiconductor nanostructures on TiO2 surface via different chemical methods and investigation of their effects on dye sensitized solar cell efficiency
Deposition of thin-films on EPDM substrate with a plasma-polymerized coating
Deposition of Fluorocarbon Nanoclusters by Gas Aggregation Cluster Source
Depolymerization of insulin amyloid fibrils by albumin-modified magnetic fluid
Deposition and Characterisation of Plasma Polymerised Allyl Methacrylate Based Coatings
Deposition and evaluation of duplex hydroxyapatite and plasma electrolytic oxidation coatings on magnesium
Deposition of Silver Coatings onto Sodium Borosilicate Glass Microspheres
Deposition of Ti-O-N-C Coatings by Plasma Immersion Ion Implantation and Deposition
Deposition of titanium nitride (TiN) on Co-Cr and their potential application as vascular stent
Deposition of thin TiO2 layers on platinum by means of cyclic voltammetry of selected complex Ti(IV) media leading to anatase
Deposition of gold nanoparticle films using spray pyrolysis technique: Tunability of SPR band by electric field
Deposition and characterization of silver nanowires embedded PEDOT:PSS thin films via electrohydrodynamic atomization
Deposit Finance as a Commitment Device and the Optimal Debt Structure of Commercial Banks
Depositional history of the Tyne valley associated with retreat and stagnation of Late Devensian Ice Streams
Deposition and post-deposition annealing of thin Y2O3 film on n-type Si in argon ambient
Depolymerization of Unsaturated Polyesters and Waste Fiber-Reinforced Plastics by using Ionic Liquids: The Use of Microwaves to Accelerate the Reaction Rate
Deposition of Histone Variant H2A.Z within Gene Bodies Regulates Responsive Genes
Deposition of Pt nanoclusters by means of gas aggregation cluster source
Deposition potential dependence of composition, microstructure, and surface morphology of electrodeposited Ni-Cu alloy films
Deposition of cobalt rich Zn-Co alloy coatings of high corrosion resistance
Depositional ages of clastic metasediments from Samos and Syros, Greece: results of a detrital zircon study
Deposition of Aggregated Nanoparticles - A Theoretical and Experimental Study on the Effect of Aggregation State on the Affinity between Nanoparticles and a Collector Surface
Deposition of heavy metals from particulate settleable matter in soils of an industrialised area
Deposition rates of fungal spores in indoor environments, factors effecting them and comparison with non-biological aerosols
Deposition of zeolitic coatings onto Fecralloy microchannels: Washcoating vs. in situ growing
Deposition of thick and adherent Teflon-like coating on industrial scale stainless steel shell using pulsed dc and RF PECVD
Deposition of AlN on Nimonic 75 by PFD device
Deposition of iron pyrite via pulsed electron ablation
Depolarization regions of nonzero volume in bianisotropic homogenized composites
Depot- and ethnic-specific differences in the relationship between adipose tissue inflammation and insulin sensitivity
Deposition of boron doped diamond and carbon nanomaterials on graphite foam electrodes
Depot naltrexone decreases rewarding properties of sugar in patients with opioid dependence
Deposition and composition-control of Mn-doped ZnO thin films by combinatorial pulsed laser deposition using two delayed plasma plumes
Depolymerization of alginate into a monomeric sugar acid using Alg17C, an exo-oligoalginate lyase cloned from Saccharophagus degradans 2-40
Deposition of Cohesive Sediment from Turbulent Plumes, Gravity Currents, and Turbidity Currents
Depolarization Laplace Transform Analysis of Exchangeable Hyperpolarized Xe-129 for Detecting Ordering Phases and Cholesterol Content of Biomembrane Models
Deposition and characterization of magnetron sputtered amorphous Cr-C films
Deposits of the Tohoku Tsunami (March 11, 2011) in the southern Kuril Islands: Composition and fossils
Deposition of TiN and TaN by Remote Plasma ALD for Cu and Li Diffusion Barrier Applications
Deposition and Characterization of 3-Aminopropyltrimethoxysilane Monolayer Diffusion Barrier for Copper Metallization
Depolymerization of oak wood lignin under mild conditions using the acidic ionic liquid 1-H-3-methylimidazolium chloride as both solvent and catalyst
Deposition and characterization of cadmium sulfide (CdS) by chemical bath deposition using an alternative chemistry cadmium precursor
Deposition of thin silicon layers on transferred large area graphene
Deposition of silicon nitride thin films by RF magnetron sputtering: a material and growth process study
Deposition of electrically conductive ceria/polypyrrole nanocomposite particles on flexible polyethylene naphthalate film via in situ photo-induced polymerization
Deposition and characterization of non-isostructural (Ti0.7Al0.3N)/(Ti0.3Al0.7N) multilayers
Deposition of atmospheric Pb-210 and total beta activity in Finland
Deposition and Characteristics of Submicrometer-Structured Thermal Barrier Coatings by Suspension Plasma Spraying
Deposition of continuous platinum shells on gold nanoparticles by chemical precipitation
Depolymerization of Polycarbonates Derived from Carbon Dioxide and Epoxides to Provide Cyclic Carbonates. A Kinetic Study
Depositional development of an isolated mound and adjacent area in the southern Yellow Sea during the last postglacial sea-level rise
Deposition of rock salt AIN coatings by magnetron sputtering
Deposition of Polyacrylic Acid Films by Means of an Atmospheric Pressure Dielectric Barrier Discharge
Deposition of ZnO thin films on Si by RF magnetron sputtering with various substrate temperatures
Deposition of antireflection coatings onto silicate glass from a silicon dioxide sol containing a nonionogenic surfactant and oligoester based on propylene oxide
Depolarization of millimeter wave due to non-spherical dust particles in storms
Depositional processes in a kimberlite crater: the Upper Cretaceous Orapa South Pipe (Botswana)
Deposition of stress free c-axis oriented LiNbO3 thin film grown on (002) ZnO coated Si substrate
Deposition and qualification of tungsten coatings produced by plasma deposition in WF6 precursor gas
Deposition velocity of PM2.5 sulfate in the summer above a deciduous forest in central Japan
Deposition of ZnO Thin Films by an Atmospheric Pressure Plasma Jet-Assisted Process: The Selection of Precursors
Depolarization of Cellular Resting Membrane Potential Promotes Neonatal Cardiomyocyte Proliferation In Vitro
Deposition of Manganese and Cobalt on Ferritic-Martensitic Steels via Pack Cementation Process
Deposition of Water Stable Plasma Polymerized Acrylic Acid/MBA Organic Coatings by Atmospheric Pressure Air Plasma Jet
Deposition of polycyclic aromatic hydrocarbons (PAHs) and their mass transfer coefficients determined at a trafficked site
Deposition processes from echo-character mapping along the western Algerian margin (Oran-Tenes), Western Mediterranean
Deposition of TiO2 Passivation Layer by Plasma Enhanced Chemical Vapor Deposition between the Transparent Conducting Oxide and Mesoporous TiO2 Electrode in Dye Sensitized Solar Cells
Depositional model in subglacial cavities, Killiney Bay, Ireland. Interactions between sedimentation, deformation and glacial dynamics
Deposition of low sheet resistance indium tin oxide directly onto functional small molecules
Depolarized MUC1 Expression Is Closely Associated With Hypoxic Markers and Poor Outcome in Resected Non-Small Cell Lung Cancer
Deposition of highly crystalline graphite from moderate-temperature fluids
Deposition of graphene by sublimation of pyrolytic carbon
Depositional framework of the East Baltic Tremadocian black shale revisited
Deposition of Dry Powder Generated by Solovent in Sophia Anatomical Infant Nose-Throat (SAINT) Model
Depoliticisation, governance and the state introduction
Deposition of tin(II) sulfide thin films by ultrasonic spray pyrolysis: Evidence of sulfur exo-diffusion
Depot-specific regulation of autotaxin with obesity in human adipose tissue
Depositional processes and growth patterns of isolated oceanic basins: the Protector and Pirie basins of the Southern Scotia Sea (Antarctica)
Deposition of ZnO Particles by Photocatalytic Reaction
Deposition of cobalt oxide thin films by plasma-enhanced chemical vapour deposition (PECVD) for catalytic applications
Depositional History of the Chhattisgarh Basin, Central India: Constraints from New SHRIMP Zircon Ages: A Reply
Depolarization-induced long-term depression at hippocampal mossy fiber-CA3 pyramidal neuron synapses
Depolarization effects in tip-enhanced Raman spectroscopy
Deposition of Ti2AlC and Ti3AlC2 epitaxial films by magnetron sputtering
Deposition of epitaxial Ti2AlC thin films by pulsed cathodic arc
Deposition of hydrogenated amorphous carbon films with enhanced sp(3)-C bonding on nanocrystalline palladium interlayer
Deposition and characterization of ternary thin films within the Ti-Al-C system by DC magnetron sputtering
Deposition velocity of O-3 and SO2 in the dry and wet season above a tropical forest in northern Thailand
Deposition of thin nanoporous silica layers on solid surfaces
Deposition and characterization of diamond-like carbon thin films by electro-deposition technique using organic liquid
Deposition of amino-functionalized polyhedral oligomeric silsesquioxanes on graphene oxide sheets immobilized onto an amino-silane modified silicon surface
Deposition and re-entrainment dynamics of microbes and non-biological colloids during non-perturbed transport in porous media in the presence of an energy barrier to deposition
Deposition of aluminum oxide-doped zinc oxide transparent nano-films on glass substrates for electrostatic discharge applications
Deposition of C-60, C-70 and C-84 fullerene molecules, in benzene via a change of the fluid state, from a gas-liquid two phase region to the critical point
Depolarization of water in protic ionic liquids
Deposition of Latex Colloids at Rough Mineral Surfaces: An Analogue Study Using Nanopatterned Surfaces
Depositional processes of late Quaternary sediments in the Yellow Sea: a review
Deposition of a thin film of TiOx from a titanium metal target as novel blocking layers at conducting glass/TiO2 interfaces in ionic liquid mesoscopic TiO2 dye-sensitized solar cells
Deposition of antimony sulfide thin films from single-source antimony thiolate precursors
Depositional environments and an apparent age for the Geci meta-limestones: Constraints on the geological history of northern Mozambique
Deposition and electrochemical activity of Pt-based bimetallic nanocatalysts on carbon nanotube electrodes
Deposition of PtxRu1-x Catalysts for Methanol Oxidation in Micro Direct Methanol Fuel Cells
Deposition of electro-optics and ferroelectric oxides by pulsed laser ablation
Deposition of gold nanoparticles onto thiol-functionalized multiwalled carbon nanotubes
Deposition of CdS and ZnS thin films at the water/toluene interface
Depot-specific effects of the PPAR gamma agonist rosiglitazone on adipose tissue glucose uptake and metabolism
Depot specificities of PPAR gamma ligand actions on lipid and glucose metabolism and their implication in PPAR gamma-mediated body fat redistribution
Depot-specific regulation of perilipin by rosiglitazone in a diabetic animal model
Deposition of Multicomponent Alloys on Low-Carbon Steel Using Gas Tungsten Arc Welding (GTAW) Cladding Process
Deposition of metal nanoparticles on carbon nanotubes via hexane modified water-in-CO2 microemulsion at room temperature
Deposition of Inhaled Particles in the Lungs
Deposition of platinum-group metals in sediment and water bodies along the coastal belt of Ghana
Depositional environments of Campanian-Maastrichtian successions in the Kirthar Fold Belt, southwest Pakistan: Tectonic influences on late cretaceous sedimentation across the Indian passive margin
Deposition of ZnO film by pulsed laser deposition at room temperature
Deposition and characteristics of iron-silicon thin film catalyst for CNT growth
Deposition of thermally unstable molecules with the spray-jet technique on Au(111) surface
Depolarization Effect in Graded 440-nm InGaN/GaN Quantum-Well Structures
Deportation History Among HIV-Positive Latinos in Two US-Mexico Border Communities
Deposition rate effect of Alq(3) thin film growth: A Kinetic Monte Carlo study
Deposition of silver on the Pt(100)-hex surface: kinetic control of alloy formation and composition by surface reconstruction
Depolarization recruits DCC to the plasma membrane of embryonic cortical neurons and enhances axon extension in response to netrin-1
Depositing nanoparticles inside millimeter-size hollow tubing
Deposition of gold nanoparticles on mica modified by poly(allylamine hydrochloride) monolayers
Depolarization gates spine calcium transients and spike-timing-dependent potentiation
Deposition rates in growing tissue: Implications for physiology, molecular biology, and response to environmental variation
Deposition and re-entrainment of model colloids in saturated consolidated porous media: Experimental study
Deposition of silver nanoparticles on single wall carbon nanotubes via a self assembled block copolymer micelles
Deposition and solubility of airborne metals to four plant species grown at varying distances from two heavily trafficked roads in London
Depolarization/repolarization, electrocardiographic abnormalities, and arrhythmias in cardiac channelopathies
Deposition and characterization of ITO films produced by laser ablation at 355 nm
Deposition of LaAlO3 films by liquid injection MOCVD using a new [La-Al] single source alkoxide precursor
Deposition characteristics and annealing effect of La2O3 films prepared using La(iPrCp)(3) precursor
Depolarization mechanism under compressive stress in Pb(Mg1/3Nb2/3)(1-x)TixO3 system
Deposition of gold nano-particles and nano-layers on polyethylene modified by plasma discharge and chemical treatment
Deposition and patterning of conductive carbon black thin films
Deposition pressure and rate effects on the microstructure and magnetic properties of sputtered tape media
Deposition of Silver Nanoparticles in Geochemically Heterogeneous Porous Media: Predicting Affinity from Surface Composition Analysis
Deposition of Copper Particles and Films by the Displacement of Two Immiscible Supercritical Phases and Subsequent Reaction
Depolarization ratio and attenuated backscatter for nine cloud types: Analyses based on collocated CALIPSO lidar and MODIS measurements
Deposition of Co nano-particles in a CoO/Al(2)O(3) matrix by magnetron sputtering
Deposition of plasma sprayed copper slag coatings on metal substrates
Deposition at glancing angle, surface roughness, and protein adsorption: Monte Carlo simulations
Deposition of indium tin oxide by atmospheric pressure chemical vapour deposition
Depositional environment and hydrocarbon source potential of the Permian Gondwana coals from the Barapukuria Basin, Northwest Bangladesh
Depositional evidence of water-level changes of the Baltic Ice Lake in southern Finland during the Younger Dryas/Holocene transition
Deposition of apatite in mineralizing vertebrate extracellular matrices: A model of possible nucleation sites on type I collagen
Depositional conditions and petroleum potential of the Middle Triassic Passhatten Member (Bravaisberget Formation), Spitsbergen
Deposition of ZrO(2) and HfO(2) thin films by liquid injection MOCVD and ALD using ansa-metallocene zirconium and hafnium precursors
Deposition of an oxomanganese water oxidation catalyst on TiO2 nanoparticles: computational modeling, assembly and characterization
Depolarization ratio measurement using single photomultiplier tube in micropulse lidar
Deposition of MgF2 thin films for antireflection coating by using thermionic vacuum arc (TVA)
Depositional sequences and palaeoceanographic change in the Ordovician of the Siberian craton
Depo-Provera and depression In Iranian women
Deposition of Pt nanoparticles on different carbonaceous materials by using different preparation methods for PEMFC electrocatalysts
Deposition and Characterization of Metal Propionate Derived Epitaxial YBa2Cu3O7-x Films for Coated Conductor Fabrication
Deposition of Organosilicon Coatings by a Non-Equilibrium Atmospheric Pressure Plasma Jet: Design, Analysis and Macroscopic Scaling Law of the Process
Deposition of ordered arrays of gold and platinum nanoparticles with an adjustable particle size and interparticle spacing using supercritical CO2
Deposition of aluminum oxide layer on GaN using diethyl aluminum ethoxide as a precursor
Deposition of semiconducting single-walled carbon nanotubes using light-assisted dielectrophoresis
Deposit of UV- or gamma-synthesized gold nanoparticles on TiO2 powder using lipid-based multilamellar vesicles
Deposition of hierarchical Cd(OH)(2) anisotropic nanostructures at the water-toluene interface and their use as sacrificial templates for CdO or CdS nanostructures
Deposition of thin Bi2Te3 and Sb2Te3 films by pulsed laser ablation
Deposition fluxes of PCDD/Fs in the area surrounding a steel plant in northwest Italy
Deposition of biopolymer films on micromechanical sensors
Depolarisation and suppression of burst firing activity in the mouse subthalamic nucleus by dopamine D1/D5 receptor activation of a cyclic-nucleotide gated non-specific cation conductance
Deposition of refractory coatings on carbon fibers from volatile Hf-containing precursors
Deposition of continuous nickel shells on polymer microspheres
Deposition and current conduction of mixed hexagonal and cubic phases of AlN/p-Si films prepared by vacuum arc discharge: Effect of deposition temperature
Deposition of AlN and oxidized AlN thin-films by reactive sputtering: Correlation between film growth and deposition parameters
Depolarization-Induced Suppression of Spontaneous Release in the Avian Midbrain
Deposition-temperature effects on AZO thin films prepared by RF magnetron sputtering and their physical properties
Deposition of CuInS2 films by electrostatic field assisted ultrasonic spray pyrolysis
Deposit formation in industrial delayed coker/visbreaker heaters
Deposition of model chains on surfaces: Anomalous relation between flux and stability
Deposition of low-resistivity gallium-doped zinc oxide films by low-temperature radio-frequency magnetron sputtering
Deposition of collagen VI in the extracellular matrix during mouse embryogenesis correlates with expression of the alpha 3(VI) subunit gene
Deposition of platinum nanoparticles on organic functionalized carbon nanotubes grown in situ on carbon paper for fuel cells
Deposition of nanoparticles during laser ablation of nanoparticle-containing targets
Deposition method for preparing SERS-active gold nanoparticle substrates
Deposition nucleation on mineral dust particles: a case against classical nucleation theory with the assumption of a single contact angle
Deposition and characterization of ZnO thin films by modified pulsed-spray pyrolysis
Deposition and characterization of Ti1-x(Ni,Cu)(x) shape memory alloy thin films
Deposition and crystallization studies of thin amorphous solid water films on Ru(0001) and on CO-precovered Ru(0001)
Depolarization effect in reflection-mode tip-enhanced Raman scattering for Raman active crystals
Deposition of Wafer-Scale Single-Component and Binary Nanocrystal Superlattice Thin Films Via Dip-Coating
Depositional Environment of Cherts of the Sikhote-Alin Region (Russia Far East): Evidence from Major, Trace and Rare Earth Elements Geochemistry
Deposition and characterization of porous low-dielectric-constant SiOC(-H) thin films deposited from TES/O-2 precursors by using plasma-enhanced chemical vapor deposition
Depolarization induces downregulation of DNMT1 and DNMT3a in primary cortical cultures
Deposition of CuInS2 thin films using copper- and indium/sulfide-containing precursors through a two-stage MOCVD method
Depolarized light scattering versus optical Kerr effect spectroscopy of supercooled liquids: Comparative analysis
Deposition and patterning of polymeric capsule layers
Deposition of tungsten heteropolycompounds on activated silica surface
Deposition of hydrocarbon films by means of helium-ethylene fed glow dielectric barrier discharges
Deposition of high-density silicon carbide coatings by fluidized-bed pyrolysis of chlorinated silane derivatives
Deposition of tetracene on GaSe passivated Si(111)
Deposition and characterisation of TiO2 coatings on various supports for structured (photo)catalytic reactors
Depot stability of locally injected ammonium and effect on the metabolism of spring wheat
Depositional age, provenance and metamorphic age of metasedimentary rocks from southern Madagascar
Deposition of diamond and diamond-like carbon nuclei by electrolysis of alcohol solutions
Deposition of CNx thin films by electrolysis of organic solution
Deposition of CuCdS2 thin film by single step solution process at low temperature as a novel absorber for photovoltaic applications
Deposition of copper dots from chemical vapor deposition with [Cu(I)(hfac)](2)(DVTMSO) and [Cu(I)(hfac)](2)(HD)
Deposition of platinum clusters on surface-modified tobacco mosaic virus
Deposition of Gold Shell on Polypyrrole Coated Poly(divinylbenzene) Core
Deposition of Coatings from Live Yeast Cells and Large Particles by "Convective-Sedimentation" Assembly
Depolarization properties of the femtosecond supercontinuum generated in condensed media
Deposition and characterization of silicon nitride films using HMDS for photonics applications
Deposition of TiN coatings on shape memory NiTi alloy by plasma immersion ion implantation and deposition
Deposition of Gold Nanoparticles on Thin Polyaniline Films
Deposition and characterization of CrN/Si3N4 and CrAIN/Si3N4 nanocomposite coatings prepared using reactive DC unbalanced magnetron sputtering
Deposition of nanocrystalline diamond and titanium oxide coatings onto pyrolytic carbon using CVD and sol-gel techniques
Deposition of durable thin silver layers onto polyamides employing a heterogeneous Tollens' reaction
Deposition of graded TiO2 films featured both hydrophobic and photo-induced hydrophilic properties
Depositing graphene films on solid and perforated substrates
Deposition of biodegradable poly (D,L-lactic acid) films using aerosol-assisted method
Deposition of superhydrophobic nanostructured Teflon-like coating using expanding plasma arc
Deposition and characterization of BiFeO3 thin films on different substrates
Depositional Ice Nucleation on Monocarboxylic Acids: Effect of the O:C Ratio
Depolarizing the GM debate
Deposition of superhydrophobic structures by magnetron discharge
Deposition of High-Ohmic Oriented ZnO Films on Glass, Si, and PEDOT-PSS, PEDOT-PSS(PVA) Substrates at Low Temperatures by DC-Magnetron Sputtering
Deposition of copper into thin ice buffer layers on MgO(100) produces uniform and sinter-resistant nanoparticles
Deposition of DNA-functionalized gold nanospheres into nanoporous surfaces
Deposition of gold particles on mesoporous catalyst supports by sonochemical method, and their catalytic performance for CO oxidation
Deposition and characterization of a-C : H coatings using a glow discharge
Deposition of potassium-oxygen on silicon surfaces by pulsed laser ablation of potassium superoxide: Study of work function changes
Deposition of Chromium Thin Films on Stainless Steel-304 Substrates Using a Low Energy Plasma Focus Device
Deposition of Au and Ag nanoparticles on PEDOT
Deposition of substituted apatites onto titanium surfaces using a novel blasting process
Deposition of Copper Films with Surface-Activating Agents
Deposition of palladium submonolayer on nanoporous gold film and investigation of its performance for the methanol electrooxidation reaction
Deposition of Ni(II)Porphyrin Monolayer on the Gold Electrode via Azide-Alkyne Click - Coupling and its Electrochemical Characterization
Deposition of zinc hydroxide films using weak organic bases
Deposition and characterization of ZnO:Mg thin films: the study of antibacterial properties
Deposit of dense YSZ electrolyte and porous NiO-YSZ anode for SOFC device by a low pressure plasma process
Depolarization and enpolarization DOP histograms measured for surface and bulk speckle patterns
Depot formulation of vasoactive intestinal peptide by protamine-based biodegradable nanoparticles
Deposition of carbon structures at atmospheric pressure by plasma jet
Depolymerization of actin facilitates memory formation in an insect
Deposition of ultra thin CuInS2 absorber layers by ALD for thin film solar cells at low temperature (down to 150 degrees C)
Depositional record of trace metals and degree of contamination in core sediments from the Mandovi estuarine mangrove ecosystem, west coast of India
Deportation Experiences of Women Who Inject Drugs in Tijuana, Mexico
Deposition Sequence Determines Morphology of C-60 and 3,4,9,10-Perylenetetracarboxylic Diimide Islands on CaF2(111)
Deposition of Nanocomposite Coatings Employing a Hybrid APS plus SPPS Technique
Depolymerisation optimisation of cranberry procyanidins and transport of resultant oligomers on monolayers of human intestinal epithelial Caco-2 cells
Deposition effects of WC particle size on cold sprayed WC-Co coatings
Deposition Behavior of Boron Carbide Thin Film Deposited by Unbalanced Magnetron Sputtering Method
Deposition of silver nanoparticles from suspensions containing tannic acid
Deposition of a recombinant peptide in ER-derived protein bodies by retention with cysteine-rich prolamins in transgenic rice seed
Depolarizing Actions of GABA in Immature Neurons Depend Neither on Ketone Bodies Nor on Pyruvate
Depositional processes, bedform development and hybrid bed formation in rapidly decelerated cohesive (mud-sand) sediment flows
Deposition of silica agglomerates in a cast of human lung airways: Enhancement relative to spheres of equal mobility and aerodynamic diameter
Depositional environment of the glacigenic deposits from the Holy Cross Mountains (Poland) - interpretation based on macro- and microstructure analyses
Depolarization potentiates TRAIL-induced apoptosis in human melanoma cells: Role for ATP-sensitive K+ channels and endoplasmic reticulum stress
Deposition Behavior of Mud in Sand Beds Under the Effects of Organic Properties
Deposition of heterogeneous radiocolloid from groundwater on enclosing rocks
Depositional environments and vegetation of Aptian sequences affected by volcanism in Patagonia
Deposition of ITO Nanopowder Layers on Flexible Substrate by Spin Coating Using Pulsed Nd-YAG Laser for Crystallization and Bonding
Deposition of Hybrid Organic-Inorganic Composite Coatings Using an Atmospheric Plasma Jet System
Deposition and characterization of thermal barrier coatings of ZrO2-4 mol.% Y2O3-1 mol.% Gd2O3-1 mol.% Yb2O3
Deposition of thin film SnO2:F onto aluminium foil for use in flexible tandem solar cells
Deposition of polyelectrolyte multilayer films made from poly(diallyldimethyl ammonium chloride) and poly(4-styrene sulfonate): Influence of the NaCl concentration for films obtained by alternated spraying and alternated dipping
Depolarized Light Scattering From Single Silver Nanoparticles
Depolarization correction method for ellipsometric measurements of large grain size zinc-oxide films
Depolarization of Cardiac Membrane Potential Synchronizes Calcium Sparks and Waves in Tissue
Deposition of Composite LSCF-SDC and SSC-SDC Cathodes by Axial-Injection Plasma Spraying
Deposition of RuO4 on various surfaces in a nuclear reactor containment
Deposition, turnover, and release of CENH3 at Arabidopsis centromeres
Deposition of silicon doped and pure hydrogenated amorphous carbon coatings on quartz crystal microbalance sensors for protein adsorption studies
Deposition and characterization of superhard biphasic ruthenium boride films
Deposition of fine particles on building internal surfaces
Depositing Ordered Arrays of Metal Sulfide Nanoparticles in Nanostructures Using Supercritical Fluid Carbon Dioxide
Deposition of carbonaceous species over Ag/alumina catalysts for the HC-SCR of NOx under lean conditions: a qualitative and quantitative study
Depolarizing and calcium-mobilizing stimuli fail to enhance synthesis and release of endocannabinoids from rat brain cerebral cortex slices
Deposition of Silver Nanoshell and Reactivity of Silver Nanoparticles with Surface Silanols of Submicrospherical Silica
Deposition of nonsarcomeric alpha-actinin in cardiomyocytes from patients with dilated cardiomyopathy or chronic pressure overload
Deposit insurance scheme and banking crises: a special focus on less-developed countries
Deposition of TiN films on Co-Cr for improving mechanical properties and biocompatibility using reactive DC sputtering
Deposition by the 2011 Tohoku-oki tsunami on coastal lowland controlled by beach ridges near Sendai, Japan
Deposit-refund system vs. compliance scheme membership: How to comply with producer responsibility regulations?
Deposition of Alumina Coatings from Nanopowders by Plasma Spraying
Deposition of aluminide and silicide based protective coatings on niobium
Deposition from Dopamine Solutions at Ge Substrates: An in Situ ATR-FTIR Study
Depositional environment and constraining factors on the facies architecture of the Qom Formation, Central Basin, Iran
Deposition of Complement Product C4d in Anti-Glomerular Basement Membrane Glomerulonephritis
Deposition of uranium precipitates in dolomitic gravel fill
Deposition and Characterization of Hard Coatings in the Material System V-Al-N by Reactive Magnetron Sputter Deposition
Deposition of artificial radionuclides from atmospheric Nuclear Weapon Tests estimated by soil inventories in French areas low-impacted by Chernobyl
Deposition of Amorphous Zinc Indium Tin Oxide and Indium Tin Oxide Films on Flexible Poly(ether sulfone) Substrate Using RF Magnetron Co-sputtering System
Depot-specific differences in perilipin and hormone-sensitive lipase expression in lean and obese
Deposition of Germanium Nanowires from Hexamethyldigermane: Influence of the Substrate Pretreatment
Deposition of Silicon Carbide and Nitride-Based Coatings by Atmospheric Plasma Spraying
Deposition and characterization of titanium carbide thin films by magnetron sputtering using Ti and TiC targets
Deposition of HfO2 on InAs by atomic-layer deposition
Deposition of Pristine and Functionalized MWCNTs in Alumina Matrix by Sol-Gel Technique and Investigation of their Ammonia Sensing Properties Regular Paper
Deposition of Preferred-Orientation ZnO Films on the Lead-Free Ceramic Substrates and its Effects on the Properties of Surface Acoustic Wave Devices
Deposition of fluorine doped indium oxide by atmospheric pressure chemical vapour deposition
Deposition of polyimide layers by applying argon plasma
Deposition of superhard nanolayered TiCrAlSiN thin films by cathodic arc plasma deposition
Deposition of WN(x)C(y) thin films for diffusion barrier application using the dimethylhydrazido (2(-)) tungsten complex (CH(3)CN)Cl(4)W(NNMe(2))
Deposition of sediments of diverse sizes by the 2011 Tohoku-oki tsunami at Miyako City, Japan
Deposition of Biodegradable Polycaprolactone Coatings Using an In-line Atmospheric Pressure Plasma System
Depolymerization of xylan-derived products in an enzymatic membrane reactor
Deposition of Au, Au-V and Au-VOx on Si wafers by co-sputtering technique
Depositional architecture of sand-attached and sand-detached channel-lobe transition zones on an exhumed stepped slope mapped over a 2500 km(2) area
Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry
Deposition and Characterization of CdS, CuS and ZnS Thin Films Deposited by SILAR Method
Deposition of functionalized single wall carbon nanotubes through matrix assisted pulsed laser evaporation
Deposition Velocity of PM2.5 in the Winter and Spring above Deciduous and Coniferous Forests in Beijing, China
Deposition of thick polymer or inorganic layers with flame-retardant properties by combination of plasma and spray processes
Depot- and obesity-related differences in adipogenesis
Deposition, floral composition and sequence stratigraphy of uppermost Triassic (Rhaetian) coastal coals, southern Sweden
Deposition and characterization of TiAlSiN nanocomposite coatings prepared by reactive pulsed direct current unbalanced magnetron sputtering
Deposition of one-dimensional zinc oxide structures on polypropylene fabrics and their antibacterial properties
Deposition of photocatalytic titania coatings on polymeric substrates by HiPIMS
Deposition of Aerosol Particles below 10 nm on a Mixed Screen-Type Diffusion Battery
Depolymerised carrageenan enhances physiological activities and menthol production in Mentha arvensis L.
Depolymerized carrageenan ameliorates growth, physiological attributes, essential oil yield and active constituents of Foeniculum vulgare Mill
Deposition and structure characterization of carbon films prepared at atmospheric pressure by plasma jet
Deposition mechanisms of TiO2 nanoparticles in a parallel plate system
Deposition velocities and impact of physical properties on ozone removal for building materials
Deposition of thick cubic boron nitride films - Mechanisms and concepts
Deposition of gold nanoparticles on electrospun MgTiO3 ceramic nanofibers
Deposition of stable amine coating onto polycaprolactone nanofibers by low pressure cyclopropylamine plasma polymerization
Depositional environment of the Lower Silurian Akkas hot shales in the Western Desert of Iraq: Results from an organic geochemical study
Deposition of uniform mu c-Si : H layers on plasma etched vertical ZnO nanowires
Deposition and rainwater concentrations of trifluoroacetic acid in the United States from the use of HFO-1234yf
Deposition of stable hydrophobic coatings with in-line CH4 atmospheric rf plasma
Deposition of calcium carbonate disks on polyelectrolyte multilayer matrices by the alternate soaking process
Deposition conditions and electrical properties of relaxor ferroelectric Pb(Fe1/2Nb1/2)O-3 thin films prepared by pulsed laser deposition
Deposition of gold nanoparticles on silica spheres: A sonochemical approach
Deposition and CMAS Corrosion Mechanism of 7YSZ Thermal Barrier Coatings Prepared by Plasma Spray-Physical Vapor Deposition
Deposition of polybrominated diphenyl ethers, polychlorinated biphenyls, and polycyclic aromatic hydrocarbons to a boreal deciduous forest
Deposition and dielectric properties of CaCu3Ti4O12 thin films deposited on Pt/Ti/SiO2/Si substrates using radio frequency magnetron sputtering
Deposition of Hyperphosphorylated Tau in Cerebellum of PS1 E280A Alzheimer's Disease
Depositional features of co-genetic turbidite-debrite beds and possible mechanisms for their formation in distal lobated bodies beyond the base-of-slope, Ulleung Basin, East Sea (Japan Sea)
Deposition and characterization of titania-silica optical multilayers by asymmetric bipolar pulsed dc sputtering of oxide targets
Deposition of amorphous Fe-Zr alloys by magnetron co-sputtering
Deposition of zinc oxide thin films by reactive pulsed laser ablation
Depot-specific differences in inflammatory mediators and a role for NK cells and IFN-gamma in inflammation in human adipose tissue
Deposition and Wettability of [bmim][triflate] on Self-Assembled Monolayers
Deposition of docosahexaenoic acid (DHA) is limited in forebrain of young obese fa/fa Zucker rats fed a diet high in alpha-linolenic acid but devoid of DHA
Depot-specific adipocyte cell lines reveal differential drug-induced responses of white adipocytes-relevance for partial lipodystrophy
Deposition and palaeogeography of a glacigenic Neoproterozoic succession in the east Kimberley, Australia
Deposition and characterization of Fe0.55Co0.45 nanowires
Deposition of gold nanoparticles on silica spheres by electroless metal plating technique
Deposition of plasma-polymerized hydroxyethyl methacrylate (HEMA) on silicon in presence of argon plasma
Deposition and dielectric properties of CaCu3Ti4O12 thin films on Pt/Ti/SiO2/Si substrates using pulsed-laser deposition
Deposition of (Y2BaCuO5/YBa2Cu3O7-x) x N multilayer films on Ni-based textured substrates
Deposition of titanium nitride films on the flexible Polycarbonate substrates by magnetron sputtering
Deposition mechanisms of metallic glass particles by Cold Gas Spraying
Depositional history and inventories of polychlorinated biphenyls (PCBs) in sediment cores from an Antarctic Specially Managed Area (Admiralty Bay, King George Island)
Deposition and tectonic setting of the Palaeoproterozoic Castelo dos Sonhos metasedimentary formation, Tapajos Gold Province, Amazonian Craton, Brazil: age and isotopic constraints
Deposition of thin films using argon/acetylene atmospheric pressure plasma jet
Deposition of nanocomposite coatings on wood using cold discharges at atmospheric pressure
Deposition of Multicomponent Chromium Carbide Coatings Using a Non-Conventional Source of Chromium and Silicon with Micro-Additions of Boron
Depositional environment and tectono-provenance of Upper Kaimur Group sandstones, Son Valley, Central India
Depositional palaeoenvironment and economic potential of Khadsaliya lignite deposits (Saurashtra Basin), western India: Based on petrographic, palynofacies and geochemical characteristics
Deposition of metallic molybdenum thin films on 304L steel substrate by SUT-PF
Deposition of steeply infalling debris around white dwarf stars
Depolarisation of Na0.5Bi0.5TiO3-based relaxors and the resultant double hysteresis loops
Deposition of silver nanoparticles on polyester fiber under ultrasound irradiations
Deposition, characterization and gas sensors application of RF magnetron-sputtered terbium-doped ZnO films
Deposition of Nanostructurated ZrxLa1-xOy Thin Films on P-type Si(100) Substrate by the Sol-Gel Route
Deposition Potential Influence on the Electrodeposition of Zn-Ni-Mn Alloy
Depolarizing -aminobutyric acid contributes to glutamatergic network rewiring in epilepsy
Depolymerization of Pseudomonas stutzeri exopolysaccharide upon fermentation as a promising production process of antibacterial compounds
Deposition of YBCO Thin Films in View of Microwave Applications
Depositional ice nucleation on NX illite and mixtures of NX illite with organic acids
Deposition of alumina films by inverted cylindrical magnetron sputtering assisted by optical emission spectroscopy
Deposition of quaternary sputtered CIGS nanorods via glancing angle deposition
Depolarization ratios retrieved by AERONET sun-sky radiometer data and comparison to depolarization ratios measured with lidar
Deposition of copper from Cu(i) and Cu(ii) precursors onto HOPG surface: Role of surface defects and choice of a precursor
Deposition and drying dynamics of liquid crystal droplets
Depolarizing Effects of Daikenchuto on Interstitial Cells of Cajal from Mouse Small Intestine
Deposition Methods for Microstructured and Nanostructured Coatings on Metallic Bone Implants: A Review
Deposition of DNA Nanostructures on Highly Oriented Pyrolytic Graphite
Depoling and fatigue behavior of Pb(Mg1/3Nb2/3)O-3-PbTiO3 single crystal at megahertz frequencies under bipolar electric field
Depositional environment of the Lower Cretaceous Muling Formation of the Laoheishan Basin (NE China): Implications from geochemical and petrological analyses
Deposition of coal ash on a vertical surface in a 100 kW downflow laboratory combustor: A comparison of theory and experiment
Deposition of boron doped DLC films on TiNb and characterization of their mechanical properties and blood compatibility
Deposition Methods of Graphene as Electrode Material for Organic Solar Cells
Deposition of a polycrystalline GaN layer on a porous Si/Si substrate by an electron beam evaporator with a successive ammonia annealing treatment
Deposits associated with ultramafic-mafic complexes in Mexico: the Loma Baya case
Depositional environment and organic matter accumulation of Upper Ordovician-Lower Silurian marine shale in the Upper Yangtze Platform, South China
Depolymerization of Waste PET with Phosphoric Acid-Modified Silica Gel Under Microwave Irradiation
Depolarization Dynamics in a Strongly Interacting Solid-State Spin Ensemble
Deposition efficiency of inhaled particles (15-5000 nm) related to breathing pattern and lung function: an experimental study in healthy children and adults
Depositional environments and sequence stratigraphy of carbonate mudrocks using conventional geologic observations, multiscale electrofacies visualization, and geochemical analysis: The case of the Tuwaiq Mountain and Hanifa Formations in a basinal setting, Saudi Arabia
Deposition Thickness Modeling and Parameter Identification for a Spray-Assisted Vacuum Filtration Process in Additive Manufacturing
Deposition rates and C-14 apparent ages of Holocene sediments in the Bothnian Bay of the Gulf of Bothnia using paleomagnetic dating as a reference
Deposition of Dietary Bioactive Fatty Acids in Tissues of Broiler Chickens
Deposition of hermetic silver shells onto copper flakes
Depositional evolution of a progradational to aggradational, mixed-influenced deltaic succession: Jurassic Tofte and Ile formations, southern Halten Terrace, offshore Norway
Deposition and Characterization of Roughened Surfaces
Deposition patterns from evaporating sessile droplets with suspended mixtures of multi-sized and multi-species hydrophilic and non-adsorbing nanoparticles
Depolymerization Pathways for Branching Lignin Spirodienone Units Revealed with ab Initio Steered Molecular Dynamics
Deposition, characterization, magnetic and optical properties of Zn doped CuFe2O4 thin films
Deposition of aluminum doped ZnO as electrode for transparent ZnO/glass surface acoustic wave devices
Depolarization effect to enhance the performance of lithium ions batteries
Depolymerization of lignin via a non-precious Ni-Fe alloy catalyst supported on activated carbon
Deposit Formation of the Low-Pressure Economizer in a Coal-Fired Thermal Power Plant
Deposit formation mechanisms in a pulverized coal fired grate for hematite pellet production
Deposition of organosilicone thin film from hexamethyldisiloxane (HMDSO) with 50kHz/33MHz dual-frequency atmosphericpressure plasma jet
Deposition of photocatalytic anatase titanium dioxide films by atmospheric dielectric barrier discharge
Deposit Build-up and Corrosion in a Copper Flash Smelting Heat Recovery Boiler
Depositional timing of Neoarchean turbidites of the Slave craton-recommended nomenclature and type localities
Deposition of carbon species on the surface of metal: As a poison or a promoter for the long-term stability of Ni/SiO2 methanation catalyst?
Deposition Characteristics and Microstructure of a Ni60-Ni Composite Coating Produced by Supersonic Laser Deposition
Depositional environments and maturity evaluated by biomarker analyses of sediments deposited across the Cenomanian-Turonian boundary in the Yezo Group, Tomamae area, Hokkaido, Japan
Deposition and Analysis of Al-Rich c-AlxTi1-xN Coating with Preferred Orientation
Deposition of fluorinated polyimide consisting of 6FDA and TFDB into microscale trenches using supercritical carbon dioxide
Deposition and characterization of AZO thin films on flexible glass substrates using DC magnetron sputtering technique
Deposition of fan-shaped ZnMoO4 on ZnCo2O4 nanowire arrays for high electrochemical performance
Deposition temperature dependence and long-term stability of the conductivity of undoped ZnO grown by atomic layer deposition
Deposition of a Cationic Fe-III Spin-Crossover Complex on Au(111): Impact of the Counter Ion
Depolarization of mitochondrial membrane potential is the initial event in non-nucleoside reverse transcriptase inhibitor efavirenz induced cytotoxicity
Deposition of CdS nanoparticles on MIL-53(Fe) metal-organic framework with enhanced photocatalytic degradation of RhB under visible light irradiation
Deposition of diamond-like carbon thin films by the high power impulse magnetron sputtering method
Deposition of polycrystalline and nanocrystalline diamond on graphite: effects of surface pre-treatments
Deposition of vaporized species onto glassy fallout from a near-surface nuclear test
Deposit removal in EGR cooler and effectiveness improvement by non-thermal plasma reactor with different gas sources
Deposition characteristic of Al particles on Mg alloy micro-channel substrate by cold spray
Depositional environment characteristics of UlukA +/- AYla Evaporites, Central Anatolia, Turkey
Deposition of Controllable Nanoparticles by Hybrid Aerodynamic and Electrostatic Spray
Depolymerization of concentrated sulfuric acid hydrolysis lignin to high-yield aromatic monomers in basic sub- and supercritical fluids
Deposition of ZnO thin films by RF&DC magnetron sputtering on silicon and porous-silicon substrates for pyroelectric applications
Deposition of high permeability FeCoN films on mica substrates
Deposition of zwitterionic polymer brushes in a dense gas medium
Deposition of Ni nanoparticles onto porous supports using supercritical CO2: effect of the precursor and reduction methodology
Depositional facies and migration of the eruptive loci for Atexcac axalapazco (central Mexico): implications for the morphology of the crater
Deposition reactors for solar grade silicon: A comparative thermal analysis of a Siemens reactor and a fluidized bed reactor
Deposition of ODA-PMDA types of polyimide thin film inside a microscopic-scale space using supercritical carbon dioxide
Deposition pattern and sources of palynomorphs on the continental margin off Hokkaido Island, northwest Pacific
Deposition of cocoon-like ZnO on graphene sheets for improving gas-sensing properties to ethanol
Deposition of histone H2A.Z by the SWR-C remodeling enzyme prevents genome instability
Deposition of Li4Ti5O12 and LiMn2O4 films on the lithium-ion conductor of Li1.3Al0.3Ti1.7(PO4)(3) sintered pellet
Deposition of Bi3.15Nd0.85Ti3O12 ferroelectric thin films on 5-inch diameter Si wafers by a modified pulsed laser deposition method
Depolymerization protocol for linear, branched, and crosslinked end-of-life silicones with boron trifluoride diethyl etherate as the depolymerization reagent
Deposition of copper multilayers on Au(111) in sulfuric acid solution: An electrochemical scanning tunneling microscopy study
Deposition of Pd-Ag thin film membranes on ceramic supports for hydrogen purification/separation
Deposition of fibrinogen on the surface of in vitro thrombi prevents platelet adhesion
Depositional architecture and sequence stratigraphy of Pleistocene coarse-grained deltas along the Ligurian coast (Italy)
Deposition temperature mediated tunable tilt angle magnetization in Co-Pt/Ni81Fe19 exchange springs
Depositional redox conditions of the Grybow Succession (Oligocene, Polish Carpathians) in the light of petrological and geochemical indices
Deposition and characterization of amorphous silicon with embedded nanocrystals and microcrystalline silicon for thin film solar cells
Deposition of luminescent NaCl:Tm2+ thin films with a Tm concentration gradient using RF magnetron sputtering
Deposition of High Conductivity Low Silver Content Materials by Screen Printing
Deposition patterns of Fusarium graminearum ascospores and conidia within a wheat canopy
Depolarization and electric-field frequency shift of He-3 by magnetic-field inhomogeneities: Local theory for short mean free path and solution in He-4
Deposition of aluminium silicate film on diamond by sol-gel techniques
Depositional model for a prograding oolitic wedge, Upper Jurassic, Iberian basin
Deposition of drops containing surfactants on liquid pools: Movement of the contact line, Marangoni ridge, capillary waves and interfacial particles
Depot delivery of dexamethasone and cediranib for the treatment of brain tumor associated edema in an intracranial rat glioma model
Deposition of silver films on copper nanopowders by three-times electroless plating
Deposition of NiFe(200) and NiFe(111) textured films onto Si/SiO2 substrates by DC magnetron sputtering
Deposition of copper and sulfate on Au(111): New insights
Deposits of the Kazantsevo Transgression (MIS 5) in the Northern Yenisei Region
Depo sequence as tool to convert shoreline trajectory from space domain to time domain
Deposit contract design with relatively partially honest agents
Deposition of crystalline hydroxyapatite nano-particle on zirconia ceramic: a potential solution for the poor bonding characteristic of zirconia ceramics to resin cement
Deposition of gold-cellulose hybrid nanofiller on a polyelectrolyte membrane constructed using guar gum and poly(vinyl alcohol) for transdermal drug delivery
Deposition parameters and annealing key role in setting structural and polar properties of Bi0.9La0.1Fe0.9Mn0.1O3 thin films
Depositional systems in multiphase rifts: seismic case study from the Lofoten margin, Norway
Deposition and characterization of ceria layers using the MOCVD method
Deposition of Visible Light-Active C-Doped Titania Films via Magnetron Sputtering Using CO2 as a Source of Carbon
Depocenter migration of the Ordos Basin in the late Triassic and its controls on shale distribution
Deposition of PMDA-TFDB type polyimide onto microscale trenches patterned on silicon wafer using supercritical carbon dioxide
Deposition of thick, rigid and size-controlled silica particle layer on aluminum sheet for water vapor adsorption
Depositional stages of the Egribucak inner basin (terrestrial to marine evaporite and carbonate) from the Sivas Basin (Central Anatolia, Turkey)
Depolymerization kinetics for thermoplastic polyurethane elastomer degradation in subcritical methanol
Deposition-based synthesis of nickel-based superalloy microlattices
Depolarization of nuclear spin polarized Xe-129 gas by dark rubidium during spin-exchange optical pumping
Depot-specific differences in fat mass expansion in WT and ob/ob mice
Depositional evidence of palaeofloods during 4.0-3.6 ka BP at the Jinsha site, Chengdu Plain, China
Deposition of an Ultraflat Graphene Oxide Nanosheet on Atomically Flat Substrates
Deposition, characterizations and photoelectrochemical performance of nanocrystalline Cu-In-Cd-S-Se thin films by hybrid chemical process
Deposition of exchange-coupled dinickel complexes on gold substrates utilizing ambidentate mercapto-carboxylato ligands
Deposition of a Sorbent into a Recession on a Solid Support To Provide a New, Mechanically Robust Solid-Phase Microextraction Device
Deposition Patterns of Two Neighboring Droplets: Onsager Variational Principle Studies
Depositional age of the Himenoura Group on the Amakusa-Kamishima area, Kyushu, southwest Japan: Using zircon U-Pb dating of the acidic tuffs
Depolarized Scattering from Block Copolymer Grains Using Circularly Polarized Light
Depositional history, tectonics, and provenance of the Cambrian-Ordovician boundary interval in the western margin of the North China block: Comment
Depositional environment of oil shale within the second member of Permian Lucaogou Formation in the Santanghu Basin, Northwest China
Depot-specific differences in fatty acid composition and distinct associations with lipogenic gene expression in abdominal adipose tissue of obese women
Deposition time effects on structure and corrosion resistance of duplex MAO/Al coatings on AZ31B Mg alloy
Depositional environment and origin of the Lilaozhuang Neoarchean BIF-hosted iron-magnesite deposit on the southern margin of the North China Craton
Deposition of Pentacene Thin Film on Polydimethylsiloxane Elastic Dielectric Layer for Flexible Thin-Film Transistors
Deposition of DLC Films onto Oxynitriding-Treated V4E High Vanadium Tool Steel through DC-Pulsed PECVD Process
Depolarized GABAergic Signaling in Subicular Microcircuits Mediates Generalized Seizure in Temporal Lobe Epilepsy
Depositing Pt nanoparticles by pulse electrodeposition for DSSCs counter electrode with high electrocatalytic activity
Deposition of TiN/TiAlN multilayers by plasma-activated EB-PVD: tailored microstructure by jumping beam technology
Depositional environment and age determination of oils and condensates from the Barents Sea
Depolarization effect in liquid crystal displays
Deposition behavior, risk assessment and source identification of heavy metals in reservoir sediments of Northeast China
Deposition of homogeneous carbon-TiO2 composites by atmospheric pressure DBD
Deposition of phosphate coatings on titanium within scaffold structure
Depolarization causes the formation of a ternary complex between GlialCAM, MLC1 and ClC-2 in astrocytes: implications in megalencephalic leukoencephalopathy
Deposit Shedding in Biomass-Fired Boilers: Shear Adhesion Strength Measurements
Deposition of metallic silver coatings by Aerosol Assisted MOCVD using two new silver beta-diketonate adduct metalorganic precursors
Deposits of the 1983 and 1993 tsunamis on the coast of Primorye
Deposition and Characterization of Cerium-Based Conversion Coating on HPDC Low Si Content Aluminum Alloy
Deposition and properties of Fe(Se,Te) thin films on vicinal CaF2 substrates
Deposition of porous few-layer WSe2 flakes with high density of exposed edge sites
Depositional processes in the distal part of a large alluvial fan's feeder channel in Himalayan foothills, India
Depositional environment of the Fort Member of the Jurassic Jaisalmer Formation (western Rajasthan, India), as revealed from lithofacies and grain-size analysis
Deposition of aminosilane coatings on porous Al2O3 microspheres by means of dielectric barrier discharges
Deposition of mutant ubiquitin in parkinsonism-dementia complex of Guam
Deposition of SnS Thin Films from Sn(II) Thioamidate Precursors
Deposition pattern and tracer particle motion of evaporating multi-component sessile droplets
Deposition and hydrolysis of serine dipeptide lipids of Bacteroidetes bacteria in human arteries: relationship to atherosclerosis
Deposition of mercury in forests across a montane elevation gradient: Elevational and seasonal patterns in methylmercury inputs and production
Deposition of Nickel on Electrodeposited Cu2O Films at Potentials More Positive than the Nernst Potential of Ni2+/Ni-0
Deposition-precipitation preparation of Ag/Ag3PO4/WO3 nanocomposites for efficient Visible-light degradation of rhodamine B under strongly acidic/alkaline conditions
Deposition path planning-integrated structural topology optimization for 3D additive manufacturing subject to self-support constraint
Depolymerization of Lignin to Aromatics by Selectively Oxidizing Cleavage of C-C and C-O Bonds Using CuCl2/Polybenzoxazine Catalysts at Room Temperature
Deposition of graded SiO2/SiC coatings using high-velocity solution plasma spray
Depolarization signatures map gold nanorods within biological tissue
Depolarization of the conductance-voltage relationship in the Na(V)1.5 mutant, E1784K, is due to altered fast inactivation
Deposition Kinetics of Iron Oxide Nanoparticles on a Poly(diallyldimethylammonium Chloride)-Coated Silica Surface: Influences on the Formation of a Softer Particle-Polyelectrolyte Layer
Deposition fraction of ellipsoidal fibers in a model of human nasal cavity for laminar and turbulent flows
Deposition of fluorocarbon groups on wood surfaces using the jet of an atmospheric-pressure dielectric barrier discharge
Deposition-induced effects of isotactic polypropylene and polycarbonate composites during fused deposition modeling
Depositional paleoenvironments of the Lower Permian (upper Cisuralian) carbonate succession of Paso Hondo Formation in Chiapas State, southeastern Mexico
Deposition of N-doped carbon layers inside acidic ZrSBA-15: significant enhancement of catalytic performance of Pd NPs toward benzyl alcohol aerobic oxidation
Depolarization current relaxation process of insulating dielectrics after corona poling under different charging conditions
Deposition of ZnO based thin films by atmospheric pressure spatial atomic layer deposition for application in solar cells
Deposition of Nanostructured CdS Thin Films by Thermal Evaporation Method: Effect of Substrate Temperature
Deposition of NiO on 3 mol% yttria-stabilized zirconia and Sr0.96Y0.04TiO3 materials by impregnation method
Deposition of Photocatalytic TiO2 Coating by Modifying the Solidification Pathway in Plasma Spraying
Deposition and oxidation behavior of Mo(Si,A1)(2)/MoB layered coatings on TZM alloy
Deposition and Inhibition of Cu on TiO2 Nanotube Photoelectrode in Photoinduced Confined Etching System
Deposition mechanism of plasma sprayed droplets on textured surfaces with different diameter-to-distance ratios
Deposition and Adhesion of Polydopamine on the Surfaces of Varying Wettability
Deposition-Path Generation of SS308 Components Manufactured by TIG Welding-Based Shaped Metal Deposition Process
Depolarization in polarizing supermirrors
Depot system for controlled release of gold nanoparticles with precise intratumoral placement by permanent brachytherapy seed implantation (PSI) techniques
Deposition and parametric analysis of RF sputtered ZnO:Al thin films with very low resistivity
Depositional Controls on the Ichnology of Ordovician Wave-dominated Marine Facies: New Evidence from the Shirgesht Formation, Central Iran
Depot Dependent Effects of Dexamethasone on Gene Expression in Human Omental and Abdominal Subcutaneous Adipose Tissues from Obese Women
Depositional history of sedimentary sterols around Penguin Island, Antarctica
Deposition of microparticles by neutrophils onto inflamed epithelium: a new mechanism to disrupt epithelial intercellular adhesions and promote transepithelial migration
Deposition of silicon nitride films using chemical vapor deposition for photovoltaic applications
Depositional and diagenetic controls on anomalously high porosity within a deeply buried CO2 storage reservoir-The Cambrian Mt. Simon Sandstone, Illinois Basin, USA
Deposition of Colloidal Drops Containing Ellipsoidal Particles: Competition between Capillary and Hydrodynamic Forces
Depositional facies and ichnology of a tidally influenced coastal plain deposit: the Ogwashi Formation, Niger Delta Basin
Deposition of collagen type I onto skeletal endothelium reveals a new role for blood vessels in regulating bone morphology
Deposition of Intrinsic a-Si: H by ECR-CVD to Passivate the Crystalline Silicon Heterointerface in HIT Solar Cells
Depository Collection Management Databases and Web-Based Resources as Assessment Tools
Deposition and Impact of Urban Atmospheric Dust on Two Medicinal Plants during Different Seasons in NCR Delhi
Deposit formation on chromium-plated cylinder liner in a fully formulated oil
Deposition and etching characteristic of magnetite thin film for absorptive wire grid polarizer with 45 nm line and space pattern
Deposition Strength of Specimens Manufactured Using Fused Deposition Modeling Type 3D Printer
Deposition of transparent TiO2 nanotube-films via electrophoretic technique for photovoltaic applications
Deposition of particles in liquid flows in horizontal straight channels
Depositional System of the Carboniferous Huanglong Formation, Eastern Sichuan Basin: Constraints from Sedimentology and Geochemistry
Depolymerization characteristics of cunninghamialanceolata alkali lignin in subcritical water
Deposition of gold nanoparticles on liquid phase epitaxy grown garnet films and Faraday rotation enhancement
Depopulation of rural landscapes exacerbates fire activity in the western Amazon
Deposition of Carbon Nanotubes on CMOS
Deposition of ZnO nanostructured film at room temperature on glass substrates by activated reactive evaporation
Deposing global warming potentials
Deposition order dependent magnetization reversal in pressure graded Co/Pd films
Deposition of the 2011-2012 Cordon Caulle tephra (Chile, 40 degrees S) in lake sediments: Implications for tephrochronology and volcanology
Depolarization of rotational angular momentum in CN(A(2)Pi, v=4) + Ar collisions
Deposition and Decomposition of Signal Grass Pasture Litter under Varying Nitrogen Fertilizer and Stocking Rates
Depot-Medroxyprogesterone Acetate Contraception Use Among Salvadoran Women: An In-Depth Analysis of Attitudes and Experiences
Deposition of zinc oxide thin films by an atmospheric pressure plasma jet
Deportation of syncytial sprouts from the term human placenta
Deposition of Hexagonal Boron Nitride from N-Trimethylborazine (TMB) for Continuous CVD Coating of SiBNC Fibers
Deposition times in the northeastern United States during the Holocene: establishing valid priors for Bayesian age models
Deposition of fission and activation products after the Fukushima Dai-ichi nuclear power plant accident
Deposition of ammonium and nitrate in the roots of maize seedlings supplied with different nitrogen salts
Depositional model for the Lower Cretaceous Helvetiafjellet Formation on Svalbard - diachronous vs. layer-cake models
Depolarization induces a conformational change in the binding site region of the M-2 muscarinic receptor
Deposition Near Film Cooling Holes on a High Pressure Turbine Vane
Deposition of Nanocrystalline Bi2Te3 Films Using a Modified MOCVD System
Deposition, Diffusion and Transport Mechanism of Dry Powder Microparticulate Salbutamol, at the Respiratory Epithelia
Deposition of Polyelectrolyte Multi layer Film on a Nanoporous Alumina Membrane for Stable Label-Free Optical Biosensing
Deposition of micrometer particles in pulmonary airways during inhalation and breath holding
Deposition and characterization of MgO/Si gate stacks grown by molecular beam epitaxy
Depolarized Light Scattering for Study of Heavy Oil and Mesophase Formation Mechanisms
Deposition of inhaled micrometer-sized particles in oropharyngeal airway replicas of children at constant flow rates
Depot: Cloud Storage with Minimal Trust
Deposition of C-13 tracer in the JET MkII-HD divertor
Deposition mechanism of dry sprayed ceramic particles at room temperature using a nano-particle deposition system
Deposition Rates of Atmospheric Particulates Determined from Pb-210 Measurements in Soils and Air
Deposition of hydroxyapatite and calcium oxalate dihydrate on a heat exchanger tube
Depolarization of the photoluminescence and spin relaxation in n-doped GaAs
Deposition of TiO2 layers for dye-sensitized solar cells using nano-particle deposition system
Deposit profiles characterized by the seed layer in Cu pulse-reverse plating on a patterned substrate
Deposition of Cr-doped SrZrO3 thin films on Si substrates and their resistance switching characteristics
Deposition of thick and 50% porous YpSZ layer by spraying nitrate solution in a low pressure plasma reactor
Deposition of anionic conjugated poly(phenylenevinylene) onto silica nanoparticles via electrostatic interactions - Assembly and single-particle spectroscopy
Deposition of aerosols delivered by nasal route with jet and mesh nebulizers
Deposit Formation in Evaporation of a Pulp Mill Effluent
Deposition of WNxCy from the Tungsten Piperidylhydrazido Complex Cl-4(CH3CN) W(N-pip) as a Single-Source Precursor
Deposition of Dense Siloxane Monolayers from Water and Trimethoxyorganosilane Vapor
Depositional age and triggering event of turbidites in the western Kumano Trough, central Japan during the last ca. 100 years
Depot Antipsychotic Use in New York State Hospitals, 1994 to 2009
Deposition of Albuterol Aerosol Generated by Pneumatic Nebulizer in the Sophia Anatomical Infant Nose-Throat (SAINT) Model
Deposition of aerosol particles in a model vitreous chamber
Depositional ice nucleation onto crystalline hydrated NaCl particles: a new mechanism for ice formation in the troposphere
Deposition of Triamcinolone Acetonide and Its Effect on Soft Tissue Topography
Depollution benchmarks for capacitors, batteries and printed wiring boards from waste electrical and electronic equipment (WEEE)
Deposition of TS-1 zeolite film on palladium membrane for enhancement of membrane stability
Depositional Age of a Fossil Whale Bone from Sao Paulo Ridge, South Atlantic Ocean, Based on Os Isotope Stratigraphy of a Ferromanganese Crust
Deposition, Clearance, and Reinduction of Amyloid A Amyloid in Interleukin 1 Receptor Antagonist Knockout Mice
Deposition and spin polarization study of Fe4N thin films with (111) orientation
Depositional processes of alluvial fans along the Hilina Pali fault scarp, Island of Hawaii
Depolymerization of Polyethers to Chloroesters Using Heterogeneous Proton-exchanged Montmorillonite Catalyst
Deposition of magnetoelectric hexaferrite thin films on substrates of silicon
Deposition and Analysis of Composite Coating on Aluminum Using Ti-B4C Powder Metallurgy Tools in EDM
Depolymerization of Protobind lignin to produce monoaromatic compounds over Cu/ZSM-5 catalyst in supercritical ethanol
Depot-Specific Response of Adipose Tissue to Diet-Induced Inflammation: The Retinoid-Related Orphan Receptor (ROR) Involved?
Depolarization calibration and measurements using the CANDAC Rayleigh-Mie-Raman lidar at Eureka, Canada
Deposition of ZnO on bismuth species towards a rechargeable Zn-based aqueous battery
Deposition of amino-rich coatings by RF magnetron sputtering of Nylon: Investigation of their properties related to biomedical applications
Deposition of amino-rich coatings by RF magnetron sputtering of Nylon: In-situ characterization of the deposition process
Deposition of nanostructured fluorocarbon plasma polymer films by RF magnetron sputtering of polytetrafluoroethylene
Depolymerization of steam-treated lignin for the production of green chemicals
Depositional rates and dating techniques of modern deposits in the Brno reservoir (Czech Republic) during the last 70 years
Deposition of Highly Oriented Ta2O5 Piezoelectric Thin Films on Silicon for Fabricating Film Bulk Acoustic Resonator Structure by RF Magnetron Sputtering
Depolarization Changes During Acute Myocardial Ischemia by Evaluation of QRS Slopes: Standard Lead and Vectorial Approach
Depolarization and bonding in quasi-one-dimensional Na structures on Cu(001)
Deposition of AgGaS2 thin films by double source thermal evaporation technique
Deposition and Photopolymerization of Phase-Separated Perfluorotetradecanoic Acid-10,12-Pentacosadiynoic Acid Langmuir-Blodgett Mono layer Films
Depolarizing differential Mueller matrices
Deposit-feeders accumulate the cyanobacterial toxin nodularin
Deposition of Diamond-Like Carbon Films on Inner Wall Surfaces of Millimeter-Size-Diameter Steel Tubes by Plasma Source Ion Implantation
Depot-Medroxyprogesterone Acetate and Endothelial Function Before and After Acute Oral, Vaginal, and Transdermal Estradiol Treatment
Deposition of MgF2 Thin Films by Pulsed Laser Ablation Technique
Deposition of Nidogens and Other Basement Membrane Proteins in the Young and Aging Mouse Retina
Deposition of Functional Organic Thin Films by Pulsed Plasma Polymerization: A Joint Theoretical and Experimental Study
Deposition of chromium oxide thin films with large thermoelectromotive force coefficient by reactive pulsed laser ablation
Depolarization effect on optical control of exciton states confined in GaAs thin films
Depolarization Ratio Retrievals Using AERONET Sun Photometer Data
Deposited dielectrics on metal thin films using silicon and glass substrates for hot electron-induced electrochemiluminescence
Deposition and characterization of ultra-high barrier coatings for flexible electronic applications
Depositional versus ecological control on the conodont distribution in the Lower Frasnian fore-reef facies, Holy Cross Mountains, Poland
Deposit architecture and dynamics of the 2006 block-and-ash flows of Merapi Volcano, Java, Indonesia
Deposition of Apatite on Carbon Nanofibers in Simulated Body Fluid
Deposition of tungsten nitride thin films by plasma focus device at different axial and angular positions
Depositional History of the Chhattisgarh Basin, Central India: Constraints from New SHRIMP Zircon Ages
Deposition of alpha-WC/a-C nanocomposite thin films by hot-filament CVD
Deposition of particles on gypsum-rich coatings of historic buildings in urban and rural environments
Deposition from pyroclastic surges partially blocked by a topographic obstacle: an example from the Ilchulbong tuff cone, Jeju Island, Korea
Deposition of chemically reactive and repellent sites on biosensor chips for reduced non-specific binding (vol 79, pg 270, 2010)
Deposition of Amorphous CNx Materials in BrCN Plasmas: Exploring Adhesion Behavior as an Indicator of Film Properties
Depot-Specific Expression of Lipolytic Genes in Human Adipose Tissues - Association Among CES1 Expression, Triglyceride Lipase Activity and Adiposity
Deposition of p-Type Transparent CuxS Thin Films Using a Continuous Flow Microreactor
Deposition of Titania-containing Diamond-like Carbon Nanocomposite Films by Sputtering-assisted Chemical Vapor Deposition
Deposition Rate and Movement Effect of Paraffin-Based EBID
Deposition of Fluorinated Diamond-Like-Carbon Films by Exposure of Electrothermal Pulsed Plasmas
Depositional and Paleoenvironmental Setting of the Bizat Ruhama Early Pleistocene Archaeological Assemblages, Northern Negev, Israel: A Microstratigraphic Perspective
Deposition of shallow water sponges in response to seasonal changes
Deposition of polycrystalline Si thin films on glass substrates by direct negative Si ion beam deposition
Deposition of inertial particles from turbulent flow in channels at high Reynolds numbers
Deposition of magnesium hydride thin films using radio frequency reactive sputtering
Deposition Behavior of Self-Assembled Monolayers and Bacteria on Metallic Surfaces Using an Electrochemical Quartz Crystal Nanobalance
Deposition and structuring of Ag/AgCl electrodes inside a closed polymeric microfluidic system for electroosmotic pumping
Deposit-Feeding Sea Cucumbers Enhance Mineralization and Nutrient Cycling in Organically-Enriched Coastal Sediments
Deposition of device quality amorphous silicon and solar cell from argon dilution of silane
Deposit pattern of inkjet printed pico-liter droplet
Deposit formation and emissions from co-firing miscanthus with Daw Mill coal: Pilot plant experiments
Deposition of general ellipsoidal particles
Deposition and characterization of thick graded index SixOyFz films with low stress
Deposition of a Cu/Mo/Ce catalyst for diesel soot oxidation on a sintered metal fiber filter with a CeO2 anti corrosion coating
Deposition of amorphous carbon films using Ar and/or N-2 magnetron sputter with ring permanent magnet
Depolymerization dynamics of individual filaments of bacterial cytoskeletal protein FtsZ
Deposition of small particles in the developing lung
Deposition of nanometric double layers Ru/Au, Ru/Pd, and Pd/Au onto CdZnTe by the electroless method
Deposition of nanocrystalline translucent h-BN films by chemical vapor deposition at high temperature
Depolarization of light in biological tissues
Deposition of ITO thin films onto PMMA substrates for waveguide based biosensing devices
Depolymerization Degree of Water-Extractable Arabinoxylans in Rye Bread: Characteristics of Inbred Lines Used for Breeding of Bread Cultivars
Deposition of ethyl glucuronide in WHP rat hair after chronic ethanol intake
Deposits in Paleokarst Caverns as Indicators of Carboniferous Paleogeographic Environments in the Northeastern Part of the Siberian Platform
Depolarization of radiation upon coherent excitation with allowance made for the ground state splitting
Depositional and diagenetic controls on reservoir attributes within a fluvial outcrop analog: Upper Triassic Sonsela member of the Chinle Formation, Petrified Forest National Park, Arizona
Depolarization Remote Sensing by Orthogonality Breaking
Deposition of Cluster-Free B-doped Hydrogenated Amorphous Silicon Films Using SiH4+B10H14 Multi-Hollow Discharge Plasma Chemical Vapor Deposition
Deposition of SiO2 Thin Films on Polycarbonate by Atmospheric-Pressure Plasma
Deposition of few layer graphene nanowalls at the electrodes during electric field-assisted laser ablation of carbon in water
Deposition of Amorphous Hydrogenated Carbon Nitride Films with a Dielectric Barrier Discharge
Depositional history of diamictites from the Late Paleoproterozoic Dalma Formation (E India)
Depositional environment and provenance of Middle Siwalik sediments in Tista valley, Darjiling District, Eastern Himalaya, India
Depolarization-Induced Release of Amino Acids From the Vestibular Nuclear Complex
Deposition Processes with Hardcore Behaviour
Depopulation with Rapid Aging in Minamisoma City After the Fukushima Daiichi Nuclear Power Plant Accident
Deposition of composite coatings from particle-particle and particle-yeast blends by convective-sedimentation assembly
Deposition and deformation in the deepwater sediment of the offshore Barreirinhas Basin, Brazil
Deposition and residues of azoxystrobin and imidacloprid on greenhouse lettuce with implications for human consumption
Depositional conditions and source of rare earth elements in carbonate strata of the Aptian-Albian Mural Formation, Pitaycachi section, northeastern Sonora, Mexico
Deposition of ultrathin parylene C films in the range of 18 nm to 142 nm: Controlling the layer thickness and assessing the closeness of the deposited films
Deposition of Hydrophobic Functional Groups on Wood Surfaces Using Atmospheric-Pressure Dielectric Barrier Discharge in Helium-Hexamethyldisiloxane Gas Mixtures
Deposition of Biogenic Iron Minerals in a Methane Oxidizing Microbial Mat
Deposition of silica protected luminescent layers of Eu:GdVO4 nanoparticles assisted by atmospheric pressure plasma jet
Deposition of ordered two-phase materials using microfluidic print nozzles with acoustic focusing
Deposition and characterization of single magnetron deposited Fe:SnOx coatings
Depot risperidone-induced adverse metabolic alterations in female rats
Depositional environment and stability of the porcelanite within the Ypresian phosphatic series of the Gafsa-Metlaoui basin, southwestern Tunisia
Deposition of topological silicene, germanene and stanene on graphene-covered SiC substrates
Deposition of radiocesium on the river flood plains around Fukushima
Deportation and detention: Interdisciplinary perspectives, multi-scalar approaches, and new methodological tools
Deportation Studies: Origins, Themes and Directions
Deposition of Ag doped TiO2 on cotton fabric for wash durable UV protective and antibacterial properties at very low silver concentration
Deposition Dependent Ion Transport in Doped Conjugated Polymer Films: Insights for Creating High-Performance Electrochemical Devices
Deposition of droplets by pyroelectric field created by lithium tantalate with tailored domain structure
Deposition potential effect on surface properties of Zn-Ni coatings
Deposition of gadolinium-containing Contrast Agents in the Brain after multiple Use: Consequences of the use of MRI in Diagnostic Procedures and Follow-up Assessment in Multiple Sclerosis Reply
Deposition of gadolinium-containing Contrast Agents in the Brain after multiple Use: Consequences of the use of MRI in Diagnostic Procedures and Follow-up Assessment in Multiple Sclerosis
Deposition kinetics and electrochemical properties of tannic acid on gold and silica
Deposition of a titania layer on spherical porous silica particles and their nanostructure-induced vapor sensing properties
Deposition potential controlled structural and thermoelectric behavior of electrodeposited CoSb3 thin films
Depositional Conditions for the Kuna Formation, Red Dog Zn-Pb-Ag-Barite District, Alaska, Inferred from Isotopic and Chemical Proxies
Depositional architecture of a confined, sand-rich submarine system: the Bric la Croce-Castelnuovo turbidite system (Tertiary Piedmont Basin, Oligocene, NW Italy)
Deposition of Magnetoelectric Ferrite Thin Films Using Multiple Targets Technique
Deposition and characterization of Cu2SnS3 thin films by co-evaporation for photovoltaic application
Deposition of composite coatings by cold spray using stainless steel 316L, copper and Tribaloy T-700 powder mixtures
Depositional model and sequential evolution of the upper Quintuco Formation in its type area: implications for paleogeographic reconstructions for the southern Neuquen Basin during the Valanginian
Deposition of duplex MAO layer/nanostructured titanium dioxide composite coatings on Mg-1%Ca alloy using a combined technique of air plasma spraying and micro arc oxidation
Deposition of Copper Oxide Coatings with an Atmospheric Pressure Plasma Source: II - Characterization of the Films
Depositional environments and sequence stratigraphy of the Sarvak Formation in an oil field in the Abadan Plain, SW Iran
Deposition pattern of interacting droplets
Deposition and characterization of Cu2ZnSnS4 thin films for photovoltaic applications
Depolarization shift of the superradiant phase transition
Deposition of thermoelectric strontium hexaboride thin films by a low pressure CVD method
Deposition rate dependant formation and properties of Sn2S3 and SnS thin films by co-evaporation
Deposition of C-terminally truncated A beta species A beta 37 and A beta 39 in Alzheimer's disease and transgenic mouse models
Deposition of traffic-related air pollutants on leaves of six evergreen shrub species during a Mediterranean summer season
Depo-Provera (depot medroxyprogesterone acetate) use after bariatric surgery
Deposition of MnO2 nanoparticles on the magnetic halloysite nanotubes by hydrothermal method for lead(II) removal from aqueous solutions
Depositional and erosional bedforms in Late Pleistocene-Holocene pro-delta deposits of the Gulf of Patti (southern Tyrrhenian margin, Italy)
Deposition of pathogenic Mycoplasma gallisepticum onto bird feeders: host pathology is more important than temperature-driven increases in food intake
Deposition and characterization of pure and Cd doped SnO2 thin films by the nebulizer spray pyrolysis (NSP) technique
Deposition of cerium oxide thin films by reactive magnetron sputtering for the development of corrosion protective coatings
Deposition and Characterization of Tungsten Carbide Thin Films by DC Magnetron Sputtering for Wear-Resistant Applications
Depositional environments of the Plio-Pleistocene Upper Valdarno Basin (Tuscany, Italy)
Depositional architecture and absolute dating of pleistocene beach ridges in Paraiba do Sul deltaic complex (RJ)
Depositional characteristics of uranium tailings from Saskatchewan, Canada
Deposition of fluorocarbon film with 1,1,1,2-tetrafluoroethane pulsed plasma polymerization
Deposition and characterization of amorphous electroless Ni-Co-P alloy thin film for ULSI application
Deposit carbon coatings on high Co YG cemented carbide with combustion flame
Deposition of titanate ferroelectric thin films by microarc oxidation
Depositing radio-frequency-excited polyethylene onto the surface of microcellular polyterafluoroethylene foam film
Deposition temperature effect on release rate of indomethacin microcrystals from microcapsules of layer-by-layer assembled chitosan and alginate multilayer films
Deposition of (Ni80Fe20)(100-x)Cr-x Alloy Thin Films for Potential Applications in Magnetic Recording Media
Deposition of stoichiometric Bi2Se3 film by vacuum-thermal treatment of Se/Bi heterostructure
Deposition of Tungsten Metal by an Immersion Process
Deposition of Au nanoparticles inside porous CeO2 nanocubes using Langmuir-Blodgett technique
Depolymerization using sonochemical reactors: A critical review
Depositing sericin on partially degraded polyamide reverse osmosis membrane for restored salt rejection and simultaneously enhanced resistance to both fouling and chlorine
Deposition of ZnO flowers on the surface of g-C3N4 by solid phase reaction
Deposition and characterisation of Mo2N/CrN multilayer coatings prepared by cathodic arc evaporation
Deposition of zeolite nanoparticles onto porous silica monolith
Deposition of Pt Nanoparticles on Ni Foam via Galvanic Displacement
Deposition of absolute and relative airborne metals on eggshells: a field study
Deposition, characterization and high-temperature steam oxidation behavior of single-phase Ti2AlC-coated Zircaloy-4
Deposition pattern and throughfall fluxes in secondary cool temperate forest, South Korea
Deposition of conductive polythiophene film on a piezoelectric substrate: effect of corona poling and nano-inclusions
Deposition of CuWO4 nanoparticles over g-C3N4/Fe3O4 nanocomposite: Novel magnetic photocatalysts with drastically enhanced performance under visible-light
Deposition of Pd nanoparticles on the walls of cathodically hydrogenated TiO2 nanotube arrays via galvanic displacement: A novel route to produce exceptionally active and durable composite electrocatalysts for cost-effective hydrogen evolution
Deposition of novel bioactive nanoflower-like sodium titanate on TiO2 coating via anodic oxidation for biomedical applications
Depositing a flexible substrate of triangular silver nanoplates onto cotton fabrics for sensitive SERS detection
Depositional history of polychlorinated biphenyls (PCBs), organochlorine pesticides (OCPs) and polycyclic aromatic hydrocarbons (PAHs) in an Amazon estuary during the last century
Deposition of PdPtAu Nanoparticles on Hollow Nanospheres of Fe3O4 as a New Catalyst for Methanol Electrooxidation: Application in Direct Methanol Fuel Cell
Depolymerization of Trityl End-Capped Poly(Ethyl Glyoxylate): Potential Applications in Smart Packaging
Deposition of nanocomposite Cu-TiO2 using heterogeneous colliding plasmas
Deposition of polymer coating on metallic powder through ball milling: Application to hydrogen storage intermetallics
Deposition of TiO2/Al2O3 bilayer on hydrogenated diamond for electronic devices: Capacitors, field-effect transistors, and logic inverters
Deposition of Ag and Ag-Au nanocrystalline films with tunable conductivity at the water-toluene interface
Depollution of syringic acid aqueous solutions by electrochemical oxidation using high oxidation power anodes
Deposition characteristics and behaviour of high-pressure cold-sprayed silicon powder
Deposited zirconocene chloride on silica-layered CuFe2O4 as a highly efficient and reusable magnetically nanocatalyst for one-pot Suzuki-Miyaura coupling reaction
Deposition of graphene/graphene-related phases on different substrates by thermal decomposition of acetone
Deposition and characterization of titanium aluminum nitride coatings prepared by RF magnetron sputtering
Deposition of amorphous carbon nitride films on flexible substrates by reactive sputtering for applications in light-driven active devices
Deposition time dependence of the morphology and properties of tin-catalyzed silicon oxide nanowires synthesized by the gas-jet electron beam plasma chemical vapor deposition method
Deposition of Heteroepitaxial Layers of Topological Insulator Bi2Se3 in the Trimethylbismuth-Isopropylselenide-Hydrogen System on the (0001) Al2O3 and (100) GaAs Substrates
Depolymerization of lignosulfonates by submerged cultures of the basidiomycete Irpex consors and cloning of a putative versatile peroxidase
Deposition of (CS)-C-137 in Rokkasho, Japan and its relation to Asian dust
Deposition of TiO2 Nanoparticles on Porous Polylactic Acid Fibrous Substrates and Its Photocatalytic Capability
Depolarized haze of nano-porous AAO film via porosity and aspect control
Depolution Acid Waste Waters Containing Cadmium and Zinc Ions Using as Extractant Tri-n-octyl-phosphine Oxide
Depolymerization of Cellulose with Superheated Steam: Remarkable Obstruction Effects of Sodium and High Reactivity of Crystalline Cellulose
Depositing Fullerenes in Swollen Polymer Layers via Sequential Processing of Organic Solar Cells
Deposition of strontium phosphate coatings on magnesium by hydrothermal treatment: Characteristics, corrosion resistance and bioactivity
Deposits in a coal fired grate-kiln plant for hematite pellet production: Characterization and primary formation mechanisms
Deposition behavior and movement parameters optimization for reciprocating spray forming tubular
Deposition of aluminium oxide films by pulsed reactive sputtering
Depolarization design of scanning system
Deposition and cutting performance of high quality ultra-fine grain diamond coated inserts
Deposition of smooth diamond films with high adhesive strength on WC-Co inserts and their cutting performance in turning GFRP
Deposition mechanism of pyrolytic carbons at temperature between 800-1200 degrees C
Deposits and surface instantaneous heat transfer on the diesel engine chamber wall
Deposition and characterization of nickel gallium thin films
Depositional environment and petroleum potential of Oligocene rocks in the Waschberg Zone (Austria)
Deposition temperature dependence of interface magnetism of Co2FeGe-Heusler-alloy/Ag films studied with Fe-57 Mossbauer spectroscopy
Deposition and pedogenesis of periglacial sediments and buried soils at the Serpentine Hot Springs archaeological site, Seward Peninsula, AK
Depositional setting of the 2 center dot 1Ga Francevillian macrobiota (Gabon): Rapid mud settling in a shallow basin swept by high-density sand flows
Deposition of a PMMA coating with an atmospheric pressure plasma jet
Deposition and separation of W and Mo from aqueous solutions with simultaneous hydrogen production in stacked bioelectrochemical systems (BESs): Impact of heavy metals W(VI)/Mo(VI) molar ratio, initial pH and electrode material
Deposition of litter and nutrients in leaves and twigs in different plant communities of northeastern Mexico
Deposition of Zinc Oxide on Different Polymer Textiles and Their Antibacterial Properties
Depolymerization of Poly(phosphinoboranes): From Polymers to Lewis Base Stabilized Monomers
Deposition and patterning of magnetic atom trap lattices in FePt films with periods down to 200 nm
Depolarization effect of a vapor cell in atom-based radio-frequency electric field measurement
Deposition behavior of cold-sprayed metallic glass particles onto different substrates
Deposition efficiency of low pressure cold sprayed aluminum coating
Depositional environment of the surface sediments in Central Indian Basin (CIB), Indian Ocean, between 8 degrees-18 degrees S latitude and 72 degrees-79 degrees E longitude, based on their geochemical characteristics
Deposition of micro crystalline silicon films using microwave plasma enhanced chemical vapor deposition
Deposition of Dispersed Nanoparticles in Porous Media Similar to Oil Sands. Effect of Temperature and Residence Time
Deposition of transistion metal Mn doped BTO thin films by sol-gel technique
Deposition and characterization of spin-coated n-type ZnO thin film for potential window layer of solar cell
Depolymerized konjac glucomannan: preparation and application in health care
Deposition Dynamics of Rod-Shaped Colloids during Transport in Porous Media under Favorable Conditions
Deposition, Characterization and Evaluation of Monolayer and Multilayer Ni, Ni-P and Ni-P-Nano ZnOp Coatings
Deposition of Coating to Protect Waste Water Reservoir in Acidic Solution by Arc Thermal Spray Process
Deposition of artificial radionuclides in sediments of Loch Etive, Scotland
Deposition Time Dependent Properties of Copper Tin Telluride (Cu2SnTe3) Nanoparticles for Solar Absorber Applications
Depositional processes of impactites from the YAX-1 drill core in the Chicxulub impact structure inferred from vertical profiles of PDF orientations and grain size distributions of shocked quartz
Deposition Technologies of High-Efficiency CIGS Solar Cells: Development of Two-Step and Co-Evaporation Processes
Depositing laser-generated nanoparticles on powders for additive manufacturing of oxide dispersed strengthened alloy parts via laser metal deposition
Depot-specific and GH-dependent regulation of IGF binding protein-4, pregnancy-associated plasma protein-A, and stanniocalcin-2 in murine adipose tissue
Depolymerization of Waste Plastics to Monomers and Chemicals Using a Hydrosilylation Strategy Facilitated by Brookhart's Iridium(III) Catalyst
Deposition method and performance of SiO2 as a dielectric material for beam steering electrowetting devices
Deposition, acute toxicity, and bioaccumulation of nickel in some freshwater organisms with best-fit functions modeling
Depositional environments, provenance and paleoclimatic implications of Ordovician siliciclastic rocks of the Thango Formation, Spiti Valley, Tethys Himalaya, northern India
Deposition of cubic boron nitride films by anode layer linear ion source assisted radio frequency magnetron sputtering
Depositional constraints and diagenetic pathways controlling petrophysics of Middle Miocene shallow-water carbonate reservoirs (Leitha limestones), Central Paratethys, Austria-Hungary
Deposition of palladium by the hydrogen assisted on SBA-15 with a new precursor using supercritical carbon dioxide
Depositional environments of well-sorted detrital limestone from the Minatogawa Formation in the southern part of Okinawa Island, the Ryukyu Archipelago, Japan
Depositional age and correlation of the Oonah Formation: refining the timing of Neoproterozoic basin formation in Tasmania
Deposits, petrology and mechanism of the 2010-2013 eruption of Kizimen volcano in Kamchatka, Russia
Deposition of highly oriented (K,Na)NbO3 films on flexible metal substrates
Depositional environments and cyclicity of the Early Ordovician carbonate ramp in the western Tarim Basin (NW China)
Deposition and transport of linezolid mediated by a synthetic surfactant Synsurf (R) within a pressurized metered dose inhaler: a Calu-3 model
Deposition of phosphorylated amyloid- in brains of aged nonhuman primates and canines
Depositional systems of the late Eocene Yolomecatl Formation, northwestern Oaxaca, southeastern Mexico: a first approach
Depositional setting of the Late Archean Fe oxide- and sulfide-bearing chert and graphitic argillite in the Shaw Dome, Abitibi greenstone belt, Canada
Deposition rates of viruses and bacteria above the atmospheric boundary layer
Deposition mechanism of aluminum on uranium in AlCl3-1-ethyl-3-methylimidazolium chloride ionic liquid by galvanic displacement
Depositional environment effects on observed liquefaction performance in silt swamps during the Canterbury earthquake sequence
Depositional settings and evolution of a fjord system during the carboniferous glaciation in Northwest Argentina
Deposition of gadolinia-doped ceria layers by MOCVD at low temperatures
Deposition and benthic mineralization of organic carbon: A seasonal study from Faroe Islands
Deposition of SiOx coatings by inductively coupled plasma: Effect of pulsed hexamethyldisiloxan flow
Deposition of glass fibers in a physically realistic replica of the human respiratory tract
Deposition of a stable and high concentration of carboxylic acid functional groups onto a silicon surface via a tailored remote atmospheric pressure plasma process
Deposit density of tungsten polymetallic deposits in the eastern Nanling metallogenic belt, China
Deposition characteristics of cold sprayed Inconel 718 particles on Inconel 718 substrates with different surface conditions
Deposition of SiC/Si coatings in a microwave plasma-assisted spouted bed reactor
Depolymerization of sodium polyphosphates on an iron oxide surface at high temperature
Deposition of Pd nanoparticles on TiO2 using a Pd(acac)(2) precursor for photocatalytic oxidation of CO under UV-LED irradiation
Deposition Process and Properties of Electroless Ni-P-Al2O3 Composite Coatings on Magnesium Alloy
Deposition, removal and production site of the amorphous mating plug in the spider Philodromus cespitum
Deposition features and wettability behavior of fluoropolymer coatings from hexafluoropropylene oxide activated by NiCr wire
Deposition of fine particles on vertical textile surfaces: A small-scale chamber study
Deposition density of Cs-134 and Cs-137 and particle size distribution of soil and sediment profile in Hibara Lake area, Fukushima: an investigation of Cs-134 and Cs-137 indirect deposition into lake from surrounding area
Deposition behavior, microstructure and mechanical properties of an in-situ micro-forging assisted cold spray enabled additively manufactured Inconel 718 alloy
Deposition of hydrogenated silicon clusters for efficient epitaxial growth
Depositional architecture and sequence stratigraphy of the Upper Jurassic Hanifa Formation, central Saudi Arabia
Deposition of non-spherical microparticles in the human upper respiratory tract
Deposition of polymer films by spin casting: A quantitative analysis
Deposition of fine particles of gas oil on hydrotreating catalyst: Impact of process parameters and filtration trends
Deposition of the Ti-Al coatings on different metallic substrates by mechanical alloying and subsequent laser treatment
Deposition pattern of aerosolized Legionella using an ex vivo human-porcine respiratory model
Deposition conditions for the indium-bearing polymetallic quartz veins at Sarvlaxviken, south-eastern Finland
Deposition and characterization of Cu(In,Ga)Se-2 thin films from the ink of sonochemically prepared CIGSe nanoparticles
Depositional environment and hydrocarbon source potential of the Lower Miocene oil shale deposit in the Aleksinac Basin (Serbia)
Deposition of substituted apatite coatings at different coating patterns via drop-on-demand micro-dispensing technique
Deposition and corrosion characteristics of liquid-solid droplets on tubular corrosion probes in desulfurized flue gas
Deposition of Copper Nanofilms by Surface-Limited Redox Replacement of Underpotentially Deposited Lead on Polycrystalline Gold
Deposition of a saline giant in the Mississippian Windsor Group, Nova Scotia, and the nascent Late Paleozoic Ice Age
Deposition of polyacrylic acid films on PDMS substrate in dielectric barrier corona discharge at atmospheric pressure
Deposit insurance pricing under GARCH
Depositional facies and sandbody distribution of Member C8 in Yanchang Formation, Honghe, Southwestern Ordos Basin
Deposit Criterion of Pollution Particles on Composite Insulators Surface under High Speed Aerosol
Depolymerization of lignin in isopropanol solvent
Depolarization Behavior of K0.5Bi0.5TiO3:ZnO Lead-free Ferroelectric Ceramics
Depositional Environments and Tectonic Background of the Middle-Late Devonian Siliceous Sediments in Luodian, South Guizhou
Deposition Mechanism Based on Plasma Spray-Physical Vapor Deposition
Deposition and Visualization of DNA Molecules on Graphene That Is Obtained with the Aid of Mechanical Splitting on a Substrate with an Epoxy Sublayer
Deposition and characterisation of ultralow-stress ZnO thin films for application in FBAR-based gravimetric biosensors
Deposition of thin tungsten carbide films by dual ion beam sputtering deposition
Deposition and characterization of epitaxial Ta-doped TiO2 films for ultraviolet photoelectric detectors
Depositional model for peat swamp and coal facies evolution using sedimentology, coal macerals, geochemistry and sequence stratigraphy
Depositional environment and factors controlling beta-carotane accumulation: A case study from the Jimsar Sag, Junggar Basin, northwestern China
Depot-specific inflammation with decreased expression of ATM2 in white adipose tissues induced by high-margarine/lard intake
Depositional environment and climate changes during the Holocene in Grande Valley, Fildes Peninsula, King George Island, Antarctica
Deposition of quantum-sized Ag on TiO2 through adsorbed-layer nanoreactor synthesis and its performance for photodegrading phenol in seawater under visible-light irradiation
Deposition of polyaniline on porous ZnFe2O4 as electrode for enhanced performance supercapacitor
Deposition time effects on optical gap, dark conductivity and X-ray photoresponse properties of thermal evaporated a-Se thin films
Deposition of polytetrafluoroethylene nanoparticles on graphene oxide/polyester fabrics for oil adsorption
Depositional model for lacustrine nearshore subaqueous fans in a rift basin: The Eocene Shahejie Formation, Dongying Sag, Bohai Bay Basin, China
Depot-specific characteristics of adipose tissue-derived stromal cells in thyroid-associated orbitopathy
Deposit insurance, bank exit, and spillover effects
Deposition characteristics of dust on wet membrane electrodes
Deposition of Cr Atoms Using Switching-Detuning Light Mask for Direct Atom Lithography
Depositional model and controlling factors of oolithic shoal: A case study of the Lower Triassic Feixianguan Formation in the northwestern Sichuan Basin, China
Deposit Mechanism Design and Corresponding Decision Strategy considering Uncertainty of Customer Behaviour
Depolarization of nearly spherical particles: The Debye series approach
Depositional and diagenetic controls on the reservoir quality of Upper Triassic Chang-7 tight oil sandstones, southwestern Ordos basin, China
Depositing thin SiC shells to weld chopped SiC fibers as ultralight highly preamble porous combustion media
Deposition behavior and tribological properties of diamond-like carbon coatings on stainless steels via chemical vapor deposition
Deposition regularity in a rainwater pipeline based on variable transport flux
Depositional sequence response to Paleogene Lake level and Paleoclimate changes in the northern Liaoxi depression, eastern China
Deposition–precipitation approach for preparing core/shell SiO2@Ni-Rh nanoparticles as an advanced catalyst for the dehydrogenation of 2-methoxycyclohexanol to guaiacol
Deposition of sulfur and nitrogen components in Louisiana in August, 2011
Deposits of Neolithic water soil erosion in the loess region of the Małopolska Upland (S Poland) – A case study of the settlement micro-region in Bronocice
Depositional model for a salinized lacustrine basin: The Permian Lucaogou Formation, Jimsar Sag, Junggar Basin, NW China
Depolymerization of heparin by dielectric barrier discharge: Effect of operating modes and anticoagulant potential analysis of low-molecular-weight products
Depolarizing surface scattering by a birefringent material with rough surface
Depolymerization of Lignin to Produce Monophenols and Oligomers Using a Novel Ni/Ce-CNT Catalyst
Deposition Evaluation of Aerial Electrostatic Spraying System Assembled in Fixed-Wing
Depositional environment and climate changes during the late Pleistocene as recorded by the Netiesos section in southern Lithuania
Depression and adolescents
Depressive symptoms and chronic obstructive pulmonary disease - Effect on mortality, hospital readmission, symptom burden, functional status, and quality of life
Depression Severity Evaluation for Female Patients Based on a Functional MRI Model
Depression and Its Influencing Factors Among Mothers of Children with Birth Defects in China
Depression and glycemic intake in the homebound elderly
Depressed Parents' Treatment Needs and Children's Problems in an Urban Family Medicine Practice
Depression and eating disorders: Treatment and course
Depression and associated factors in internal migrant workers in China
Depression Screening and Patient Outcomes in Cancer: A Systematic Review
Depressed hippocampal MEK/ERK phosphorylation correlates with impaired cognitive and synaptic function in zinc-deficient rats
Depression and essential health risk factors in surgical patients in the preoperative anaesthesiological assessment clinic
Depression Scores Associate With Chronotype and Social Jetlag in a Rural Population
Depression related to (neo)adjuvant hormonal therapy for prostate cancer
Depression in Swedish women: relationship to factors at birth
Depression in the workforce: the intermediary effect of medical comorbidity
Depressed Brainstem Auditory Function in Children With Cerebral Palsy
Depressive Symptoms and Occupational Stress Among Chinese Female Nurses: The Mediating Effects of Social Support and Rational Coping
Deprotonation of Transient Guanosyl Cation Radical Catalyzed by Buffer in Aqueous Solution: TR-CIDNP Study
Depression and History of Attempted Suicide as Risk Factors for Heart Disease Mortality in Young Individuals
Depreciation of public goods in spatial public goods games
Depression Screening and Treatment Among Nonpregnant Women of Reproductive Age in the United States, 1990-2010
Depression, Diabetes, and Chronic Disease Risk Factors Among US Women of Reproductive Age
Depressive episodes and depressive tendencies among a sample of adults in Kielce, south-eastern Poland
Depressed Biofilm Production in Bacillus amyloliquefaciens C06 Causes gamma-Polyglutamic Acid (gamma-PGA) Overproduction
Depression in Patients with Mastocytosis: Prevalence, Features and Effects of Masitinib Therapy
Depressive Symptoms and Relational Uncertainty as Predictors of Reassurance-Seeking and Negative Feedback-Seeking in Conversation
Depression and Suicide Ideation Among Students Accessing Campus Health Care
Depression and Dementia in Diabetes A dangerous Combination is the Focus of DECODIA grouping in Competence of Diabetes mellitus
Depressive symptoms and sub-clinical atherosclerosis in Africans: Role of metabolic syndrome, inflammation and sympathoadrenal function
Depression, anxiety and influencing factors in patients with acute pulmonary embolism
Depression After Spinal Cord Injury: Comorbidities, Mental Health Service Use, and Adequacy of Treatment
Deprotonation studies of Cu+-guanine and Cu2+-guanine complexes by theoretical investigation
Depression and Coping as Predictors of Change After Deep Brain Stimulation in Parkinson's Disease
Depressing effect of sodium hexametaphosphate on apatite in flotation of rutile
Depressive emergency: suicidal risks in the optimisation of medical costs
Depression and hypertension among Chinese nonagenarians and centenarians
Depression symptom severity and error-related brain activity
Depression of platelet counts in apparently healthy children with asymptomatic malaria infection in a Nigerian metropolitan city
Depression in primary progressive aphasia
Depression symptoms among caregivers of children in HIV-affected families in rural China
Depreciation of fixed assets and efficiency of investment and operation of a mining plant
Depressive and Psychosomatic Symptoms in Twins With Special Reference to Co-Twin Dependence
Depressive Symptoms and Diabetes Reply
Depression and anxiety in relation to catechol-O-methyltransferase Val(158)Met genotype in the general population: The Nord-Trondelag Health Study (HUNT)
Depressive behavior and selective downregulation of serotonin receptor expression after early-life seizures: Reversal by environmental enrichment
Depressive symptoms and condom use with clients among female sex workers in China
Depression and Anxiety in the United States: Findings From the 2006 Behavioral Risk Factor Surveillance System
Depression and Clinical Outcomes in Heart Failure: An OPTIMIZE-HF Analysis
Depression and anxiety associated with cardiovascular disease among persons aged 45 years and older in 38 states of the United States
Depression and anxiety among US adults: associations with body mass index
Depression subtypes in bipolar I and II disorders
Depressive Symptoms and Sexual Risk Behavior in Young, Chlamydia-Infected, Heterosexual Dyads
Depression and anxiety in children and adolescents with epilepsy: Prevalence, risk factors, and treatment
Depression among Nigerian women following pregnancy loss
Depression assessment and classification in palliative cancer patients: a systematic literature review
Depressing effect of phenoxyl acetic acids on flotation of minerals containing Ca2+/Mg2+ gangues
Deprival of testicular innervation induces apoptosis of Leydig cells via caspase-8-dependent signaling: A novel survival pathway revealed
Depression in patients with Parkinson's disease and the associated features
Depression, anxiety and obsessive-compulsive symptoms and quality of life in children with attention-deficit hyperactivity disorder (ADHD) during three-month methylphenidate treatment
Depression in newly diagnosed type 2 diabetes
Depressed plaques over back in a 35-year-old male
Depressorotunda gen. nov., a new remarkable Uropodina mite genus from South-East Asia with description of four new species (Acari: Mesostigmata)
Depression and treatment with antidepressants are associated with the development of gastro-oesophageal reflux disease
Depression as a Potential Modulator of Beta-Adrenergic-Associated Leukocyte Mobilization in Heart Failure Patients
Depression in elderly patients with type 2 diabetes
Depression and cancer: challenging the myth through epidemiology
Depression and Language Acculturation Correlate With Smoking Among Older Asian American Adolescents in New York City
Deprotonation of short-living radical cations of pyrrolylbenzenes*
Depressive Neurosis Treated by Acupuncture for Regulating the Liver-A Report of 176 Cases
Depression-prone mice with reduced glucocorticoid receptor expression display an altered stress-dependent regulation of brain-derived neurotrophic factor and activity-regulated cytoskeleton-associated protein
Depression, anxiety and quality of life in parents of children with epilepsy
Depressive symptoms in relation to periodontal health in a Jordanian sample
Depressive symptoms impacting on health-related quality of life in early Parkinson's disease: Results from Chinese L-dopa exposed cohort
Depression, migraine with aura and migraine without aura: their familiality and interrelatedness
Depression in Frontotemporal Dementia
Depression in restless legs syndrome. Pathogenesis, assessment, and implications for treatment
Depression, Parkinson disease, Alzheimer disease. The homocysteine hypothesis
Depression, another autoimmune disease from the view of autoantibodies
Depressed exocytosis and endocytosis of type II alveolar epithelial cells are responsible for the surfactant deficiency in the lung of newborn with congenital diaphragmatic hernia
Depressive symptoms predict the future risk of severe pruritus in haemodialysis patients: Japan Dialysis Outcomes and Practice Patterns Study
Depression is associated with low plasma A beta 42 independently of cardiovascular disease in the homebound elderly
Depression and coronary artery disease: Is there a platelet link?
Depressive Symptoms Are Associated with Soluble P-Selectin Reactivity to Acute Exercise in Heart Failure
Depressant replaces mechanical method for removing blockage
Depression as an evolutionary adaptation: Implications for the development of preclinical models
Deprivation selectively modulates brain potentials to food pictures
Depression of chronic medical inpatients in China
Depressed mood through women's reproductive cycle: correlation to mood at menopause
Depression, fear-avoidance beliefs, and physical activity in patients with low back pain
Depression and treatment with inner city pregnant and parenting teens
Depression in dhat syndrome
Depressive symptoms and symptoms of post-traumatic stress disorder in women after childbirth
Depression and physical function: results from the aging and longevity study in the sirente geographic area (ilSIRENTE study)
Depressive symptoms in elementary school children in Jeju Island, Korea: prevalence and correlates
Depressor effect of closed-loop chip system in spontaneously hypertensive rats
Depressive symptoms in aged Chinese patients with silicosis
Depression and Thoughts of Death Among Disadvantaged Mothers: Risk Factors and Impact on Maternal and Child Health
Depression and Quality of Life in Patients With Amyotrophic Lateral Sclerosis
Depression and functional impairment independently contribute to decreased quality of life in cancer patients prior to chemotherapy
Depression and Treatment Among U.S. Pregnant and Nonpregnant Women of Reproductive Age, 2005-2009
Depression and Marital Dissatisfaction among Indian Hemodialysis Patients and Their Spouses: A Cross-Sectional Study
Depression and Severe Heart Failure: Benefits of Cardiac Resynchronization Therapy
Depression in Taiwanese patients with Alzheimer%26apos;s disease determined by the National Institutes of Mental Health Provisional Criteria
Depression after exposure to stressful events: lessons learned from the severe acute respiratory syndrome epidemic
Depression and Survival in Chinese Patients with Gastric Cancer: A Prospective Study
Depressive Symptom Clusters and 5-Year Incidence of Coronary Artery Calcification The Coronary Artery Risk Development in Young Adults Study
Depression increases the risk of hypertension incidence: a meta-analysis of prospective cohort studies
Deprotonation reaction of alpha-amino acid N-carboxyanhydride at 4-CH position by yttrium tris[bis(trimethylsilyl)amide]
Depression of pyrite in alkaline medium and its subsequent activation by copper
Depression and quality of life in monogenic compared to idiopathic, early-onset Parkinson%26apos;s disease
Depressive symptoms and their association with acute treatment outcome in first-episode schizophrenia patients: Comparing treatment with risperidone and haloperidol
Depression symptom dimensions as predictors of antidepressant treatment outcome: replicable evidence for interest-activity symptoms
Depression of p53-independent Akt survival signals in human oral cancer cells bearing mutated p53 gene after exposure to high-LET radiation
Depressive disorder moderates the effect of the FTO gene on body mass index
Depression is associated with low levels of 25-hydroxyvitamin D among Jordanian adults: results from a national population survey
Depressive symptoms among Chinese nurses: prevalence and the associated factors
Depression and Risk of Stroke A Meta-Analysis of Prospective Studies
Depression in headaches: chronification
Depression recognition using resting-state and event-related fMRI signals
Depressive state- and disease-related alterations in neural responses to affective and executive challenges in geriatric depression
Depression, Anxiety, and Quality of Life After Catheter Ablation in Patients With Paroxysmal Atrial Fibrillation
Depressing effect of 0.2wt.%Zn addition into Sn-3.0Ag-0.5Cu solder alloy on the intermetallic growth with Cu substrate during isothermal aging
Depression symptoms and chronic pain in the community population in Beijing, China
Depressing defocusing effect in microscopy by bi-orthogonal wavelet transform
Depressed nNOS expression during spine transition in the developing hippocampus of FMR1 KO mice
Depression and social support between China' rural and urban empty-nest elderly
Depressed mitochondrial biogenesis and dynamic remodeling in mouse tibialis anterior and gastrocnemius induced by 4-week hindlimb unloading
Depression risk of 'left-behind children' in rural China
Depressive symptoms among firefighters and related factors after the response to Hurricane Katrina
Depression and Anxiety: Their Predictive Function for Weight Loss in Obese Individuals
Depression, non-fatal stroke and all-cause mortality in old age: A prospective cohort study of primary care patients
Depressive Symptoms Predict the Subsequent Risk of Bodily Pain in Dialysis Patients: Japan Dialysis Outcomes and Practice Patterns Study
Depressive symptoms and associated factors in an older Spanish population positively screened for disability
Depression among Chinese University Students: Prevalence and Socio-Demographic Correlates
Depression, Anxiety, and Suicidal Ideation Among Chinese Americans A Study of Immigration-Related Factors
Depression Impacts the Course of Recovery in Patients with Acute Low-Back Pain
Depression and aggression in never-married men in China: a growing problem (vol 48, pg 1087, 2013)
Depression and aggression in never-married men in China: a growing problem
Depressive symptoms among the medically hospitalized older individuals - a 1-year follow-up study
Depressive symptoms in Taiwanese women during the peri- and post-menopause years: Associations with demographic, health, and psychosocial characteristics
Depression, Cardiometabolic Function and Left Ventricular Hypertrophy in African Men and Women: The SABPA Study
Depressive Symptoms in Bereaved Parents in the 2008 Wenchuan, China Earthquake: A Cohort Study
Depression uncouples brain hate circuit
Depression in Employees in Privately Owned Enterprises in China: Is It Related to Work Environment and Work Ability?
Depressive Symptoms, Low Adherence, and Poor Asthma Outcomes in the Elderly
Deprotection of 1,3-oxathiolanes to ketones promoted by base
Depressive symptoms moderate the effects of a self-discrepancy induction on overgeneral autobiographical memory
Depression as a risk factor for dementia and mild cognitive impairment: a meta-analysis of longitudinal studies
Depressed performance and detoxification enzyme activities of Helicoverpa armigera fed with conventional cotton foliage subjected to methyl jasmonate exposure
Deproteinized bovine bone functionalized with the slow delivery of BMP-2 for the repair of critical-sized bone defects in sheep
Depressed calcium-handling proteins due to endoplasmic reticulum stress and apoptosis in the diabetic heart are attenuated by argirein
Depression after myocardial infarction: TNF-alpha-induced alterations of the blood-brain barrier and its putative therapeutic implications
Depression effect of pseudo glycolythiourea acid in flotation separation of copper-molybdenum
Depressive symptoms and cortisol variability prior to surgery for suspected endometrial cancer
Depression in old age. Challenge for aging societies
Depression in China: Integrating Developmental Psychopathology and Cultural-Clinical Psychology
Depressive symptoms and pragmatic rehabilitation for chronic fatigue syndrome
Depressive Symptoms and the Risk of Ischemic Stroke in the Elderly-Influence of Age and Sex
Depressive Symptoms as a Predictor of Sexual Function during Pregnancy
Depression in the Rain——A Textual Analysis of Cat in the Rain
Depression recognition using functional connectivity based on dynamic causal model
Depression and diabetes: The role and impact of models of health care systems
Depression: An Important Comorbidity With Metabolic Syndrome in a General Population
Depression in palliative care settings: The need for training for nurses and other health professionals to improve patients%26apos; pathways to care
Depression in the community setting: Development and initial validation of the Daily Goals Scale
Depression, diet and exercise
Depressive symptoms in first episode schizophrenia spectrum disorder
Depression of efferent parasympathetic control of heart rate in rats with myocardial infarction: Effect of losartan
Depression and Alzheimer's Disease: Novel Postmortem Brain Studies Reveal a Possible Common Mechanism
Depression and blood pressure in high-risk children and adolescents: an investigation using two longitudinal cohorts
Depression and Life Satisfaction Among European and Confucian Adolescents
Depressive symptoms and carotid artery intima-media thickness in police officers
Depression screening in patients with coronary heart disease: Does the evidence matter?
Depression Screening in Primary Care: Why the Canadian Task Force on Preventive Health Care Did the Right Thing
Depression, Social Factors, and Farmworker Health Care Utilization
Depression and alterations in hypothalamic-pituitary-adrenal and hypothalamic-pituitary-thyroid axis function in male abstinent methamphetamine abusers
Depression and Predictors in Taiwanese Survivors with Oral Cancer
Depression as a culture-bound syndrome: implications for primary care
Depression-Like Behavioral Phenotypes by Social and Social Plus Visual Isolation in the Adult Female Macaca fascicularis
Deprotonation Reactions and Electrochemistry of Substituted Open-Chain Pentapyrroles and Sapphyrins in Basic Nonaqueous Media
Depression, not anxiety, is independently associated with 5-year hospitalizations and mortality in patients with ischemic heart disease
Depressive symptoms and culture in Chinese patients
Depression in Chinese men undergoing different assisted reproductive technique treatments: prevalence and risk factors
Depression in parents of children with leukemia in southern China accompanied by the prevalence of type D personality
Depressive mood mediates the influence of social support on health-related quality of life in elderly, multimorbid patients
Depression and advanced cancer: agreement between different screening strategies
Depressant Action of Alcohol Extract of Jasmine Root on Central Nervous System in Mice
Depression and impulse control disorders in Parkinson's disease: Two sides of the same coin?
Depression, anxiety and reduced quality of life in predialysis: differences across the CKD stages?
Depressive Symptoms Among Help-Seeking Latinas in a Disadvantaged, Urban, Northeastern Community Mental Health Center
Depression-related differences in lean body mass distribution from National Health and Nutrition Examination Survey 2005-2006
Depression screening in pregnancy and postpartum: Who needs evidence?
Depression screening and patient outcomes in pregnancy or postpartum: A systematic review
Depressive Symptoms in Multiple Sclerosis from an In Vivo Study with TBSS
Depressurization study of supercritical fluid blowdown from simple vessel
Depression after heart failure and risk of cardiovascular and all-cause mortality: A meta-analysis
Depression and Anxiety Trajectories among Women Who Undergo an Elective Cesarean Section
Depression and type 2 diabetes in low- and middle-income countries: A systematic review
Depressing liquid phase separation and macrosegeregation of Fe-Sn immiscible alloys by Cu alloying
Depressive Symptoms in People with and without Alcohol Abuse: Factor Structure and Measurement Invariance of the Beck Depression Inventory (BDI-II) Across Groups
Depression in older patients with advanced colorectal cancer is closely connected with immunosuppressive acidic protein
Depression-like behaviors in mice subjected to co-treatment of high-fat diet and corticosterone are ameliorated by AICAR and exercise
Depression, Relationship Quality, and Couples' Demand/Withdraw and Demand/Submit Sequential Interactions
Depressive symptoms and treatment of women with urgency urinary incontinence
Depression and the Risk of Cancer: A 15-year Follow-up Study of the GAZEL Cohort
Depressive symptoms among teenagers in the emergency department: prevalence estimate and concordance with parental perceptions
Depressive residual symptoms are associated with lower adherence to medication in bipolar patients without substance use disorder: Results from the FACE-BD cohort
Depression and major weight gain: A 6-year prospective follow-up of outpatients
Depressive symptoms, major depressive episodes and cognitive test performance-What is the role of physical activity?
Depressive symptoms and frailty
Depression before and after cardiac surgery: Do all patients respond the same?
Depression, anxiety, antidepressant use, and cardiovascular disease among Hispanic men and women of different national backgrounds: results from the Hispanic Community Health Study/Study of Latinos
Depressive symptoms and severity of acute occupational pesticide poisoning among male farmers
Depression prevention and mental health promotion interventions: is stigma taken into account? An overview of the Italian initiatives
Depression and insight in schizophrenia: Comparisons of levels of deficits in social cognition and metacognition and internalized stigma across three profiles
Depression, anxiety, and risk factor control in patients after hospitalization for coronary heart disease: the EUROASPIRE III Study
Depression in paroxysmal and persistent atrial fibrillation patients: a cross-sectional comparison of patients enroled in two large clinical trials(aEuro)
Depressive symptoms among immigrant and Canadian born mothers of preterm infants at neonatal intensive care discharge: a cross sectional study
Depressive Symptoms in Older Adults with Chronic Kidney Disease: Mortality, Quality of Life Outcomes, and Correlates
Depressive symptoms are a risk factor for all-cause mortality: results from a prospective population-based study among 3,080 cancer survivors from the PROFILES registry
Depression With Atypical Features and Increase in Obesity, Body Mass Index, Waist Circumference, and Fat Mass A Prospective, Population-Based Study
Depressive symptoms and work-related stress in Chilean workers: differential conditions for males and females
Depression and suicide ideation in late adolescence and early adulthood are an outcome of child hunger
Depression in Low-Income Elementary School Children in South Korea: Gender Differences
Depression in Non-Korean Women Residing in South Korea Following Marriage to Korean Men
Depression, deficits in functional capacity, and impaired glycemic control in urban African Americans with type 2 diabetes
Depression, anxiety and incident cardiometabolic diseases
Depression in the elderly: A pharmacist%26apos;s perspective
Depressive Symptoms and Longitudinal Changes in Cognition: Women%26apos;s Health Initiative Study of Cognitive Aging
Depression, anxiety and positive affect in people diagnosed with low-grade tumours: the role of illness perceptions
Depression, anxiety and alexithymia symptoms are major determinants of health related quality of life (HRQoL) in cirrhotic patients
Depression and use of health care services in patients with advanced cancer
Depressive rumination alters cortisol decline in Major Depressive Disorder
Depressive Symptoms and Hospital Readmission in Older Adults
Depression and suicidality in COPD: understandable reaction or independent disorders?
Depression among university students in Kenya: Prevalence and sociodemographic correlates
Depression treatment and coronary artery disease outcomes: Time for reflection
Depression screening in pregnancy and postpartum: How close are we?
Depression in Primary Care: Current and Future Challenges
Depression and Affective Temperaments Are Associated with Poor Health-Related Quality of Life in Patients with HIV Infection
Depressive symptoms are a vulnerability factor for heavy episodic drinking: A short-term, four-wave longitudinal study of undergraduate women
Depressive symptoms postpartum among parents are associated with marital separation: A Swedish cohort study
Depressive symptoms and inflammatory biomarkers in patients with heart failure
Depressive symptoms are associated with (sub)clinical psychotic symptoms in patients with non-affective psychotic disorder, siblings and healthy controls
Depression and anxiety in long-term cancer survivors compared with spouses and healthy controls: a systematic review and meta-analysis
Depression is more than the sum score of its parts: individual DSM symptoms have different risk factors
Depression is related to an absence of optimistically biased belief updating about future life events
Depression in Older Adults: Screening and Referral
Depression care and treatment in a chronically ill Medicare population
Depression and Disease Severity in Patients with Premature Acute Coronary Syndrome
Depression and Incident Dementia. An 8-Year Population-Based Prospective Study
Depressive symptoms and disability in chagasic stroke patients: Impact on functionality and quality of life
Depression and cancer survivorship: importance of coping self-efficacy in post-treatment survivors
Depression in Working Adults: Comparing the Costs and Health Outcomes of Working When Ill
Depression, cytokines, and pancreatic cancer
Depression, Prevalence and Some Risk Factors in Elderly Nursing Homes in Tehran, Iran
Depressive comorbidity in preschool anxiety disorder
Depression in male patients on methadone maintenance therapy
Depression in Elite Athletes: Prevalence and Psychological Factors
Depression in Aboriginal men in central Australia: adaptation of the Patient Health Questionnaire 9
Depression with psychotic features is influenced by the polymorphism of the serotonin transporter gene
Depression in Parkinson%26apos;s Disease: Epidemiology and Treatment
Depressive Symptomatology as a Risk Factor for Falls in Older People: Systematic Review and Meta-Analysis
Depressive Symptoms among Cancer Patients in a Philippine Tertiary Hospital: Prevalence, Factors, and Influence on Health-Related Quality of Life
Depression subtypes and 5-year risk of dementia and Alzheimer disease in patients aged 70 years
Depressive symptoms are independently associated with recurrent falls in community-dwelling older adults
Depression and Suicidal Ideation in Elders with Dementia
Depressive Symptoms and All-Cause Mortality in a Nationally Representative Longitudinal Study With Time-Varying Covariates
Depressive Symptoms Are Associated with Visceral Adiposity in a Community-Based Sample of Middle-Aged Women and Men
Depressive Symptoms and Concussions in Aging Retired NFL Players
Depression and Impulsivity as Pathways to Violence: Implications for Antiaggressive Treatment
Depression in heart failure
Depression, obesity, and smoking were independently associated with inadequate glycemic control in patients with type 1 diabetes
Depression in palliative care patients: a survey of assessment and treatment practices of Australian and New Zealand palliative care specialists
Depression Stigma and Treatment Preferences Among Orthodox and Non-Orthodox Jews
Depression diagnosis and treatment amongst multimorbid patients: a thematic analysis
Depression and Health Service Utilization From Age 70 to 85: The Jerusalem Longitudinal Study
Depression is independently associated with 7-year mortality in patients treated with percutaneous coronary intervention: Results from the RESEARCH registry
Depression and other behavioral and psychological symptoms of dementia - separate research worlds in need of a common understanding
Depression, Antidepressants, and Falls Among Community-Dwelling Elderly People: The MOBILIZE Boston Study
Depression, impulsiveness, sleep, and memory in past and present polydrug users of 3,4-methylenedioxymethamphetamine (MDMA, ecstasy)
Depressive symptoms in older adults are associated with decreased cerebral oxygenation of the prefrontal cortex during a trail-making test
Depression and appetite: predictors of malnutrition in gynecologic cancer
Depressive symptoms among adolescents and older adults in Mexico City
Depression and experience of vision loss in group of adults in rehabilitation setting: Mixed-methods pilot study
Depression and Somatic Symptoms May Influence on Chronic Prostatitis/Chronic Pelvic Pain Syndrome: A Preliminary Study
Depression, disability and somatic diseases among elderly
Depressive disorders and suicide: Epidemiology, risk factors, and burden
Depression and family interaction among low-income, predominantly hispanic cancer patients: a longitudinal analysis
Depression Treatment in Assisted Living Settings Is an Innovative Approach Feasible?
Depression following fracture in women: a study of age-matched cohorts
Depression in Older Persons with Mobility Limitations
Depressive symptoms as a predictor of quality of life in cerebral small vessel disease, acting independently of disability; a study in both sporadic small vessel disease and CADASIL
Depression Symptom Trajectories and Associated Risk Factors among Adolescents in Chile
Depression, pregnancy, and HIV: the case to strengthen mental health services for pregnant and post-partum women in sub-Saharan Africa
Depressive symptoms and metabolic markers of risk for type 2 diabetes in obese adolescents
Depression and risk of stroke in middle aged women
Depression, substance use and HIV risk in a probability sample of men who have sex with men
Deprived neighborhoods and adverse perinatal outcome: a systematic review and meta-analysis
Depressive Symptoms in Crohn%26apos;s Disease: Relationship with Immune Activation and Tryptophan Availability
Depression and Risk of Hospitalizations for Ambulatory Care-Sensitive Conditions in Patients with Diabetes
Depression, disability and functional status among community-dwelling older adults in South Africa: evidence from the first South African National Income Dynamics Study
Depression and Adherence to Antiretroviral Therapy in Low-, Middle- and High-Income Countries: A Systematic Review and Meta-Analysis
Depression and Risk of Dementia: Exploring the Interface
Depression, subthreshold depression and comorbid anxiety symptoms in older Europeans: Results from the EURODEP concerted action
Depression in schizophrenia: diagnosis and treatment
Deprived or not deprived? Comparing the measured extent of material deprivation using the UK government's and the Poverty and Social Exclusion surveys' method of calculating material deprivation
Depression, self-esteem and anger expression patterns of Korean nursing students
Depression and Fatigue in Chronic Hepatitis C Patients With and Without HIV Co-Infection
Depression in Adults in the T1D Exchange Clinic Registry
Depression as an evolutionary strategy for defense against infection
Depression, post-traumatic stress disorder, and functional disability in survivors of critical illness in the BRAIN-ICU study: a longitudinal cohort study
Depressive symptoms and observed eating in youth
Depressive symptoms in chronic hepatitis C are associated with plasma apolipoprotein E deficiency
Depression as a specific regime of brain functioning: results of neuroimaging studies
Depression and neuroticism in patients with chronic hepatitis C: Correlation with peripheral blood mononuclear cells activation
Depressive symptoms and interpersonal needs as mediators of forgiveness and suicidal behavior among rural primary care patients
Deproteinization Treatment on Bond Strengths of Primary, Mature and Immature Permanent Tooth Enamel
Depression in Primary Care: What More Do We Need to Know?
Depression, healthcare utilization, and comorbid psychiatric disorders after spinal cord injury
Depression-related behaviours displayed by female C57BL/6J mice during abstinence from chronic ethanol consumption are rescued by wheel-running
Depressive Symptoms, HIV Medication Adherence, and HIV Clinical Outcomes in Tanzania: A Prospective, Observational Study
Depression and IBD
Depression: a characteristic comorbidity of COPD?
Depressive symptoms predict cognitive decline and dementia in older people independently of cerebral white matter changes: the LADIS study
Depression-Like Responses Induced by Daytime Light Deficiency in the Diurnal Grass Rat (Arvicanthis niloticus)
Depression treatment patterns among individuals with osteoarthritis: a cross sectional study
Depression in the Elderly
Depression in African American Men: A Review of What We Know and Where We Need to Go From Here
Depression Treatment Preferences After Acute Traumatic Spinal Cord Injury
Deprotonation of coordinated ethylene may start Phillips catalysis
Depressive features among adult patients receiving antiretroviral therapy for HIV in Rustenburg district, SA
Depressive-like behavioral response of adult male rhesus monkeys during routine animal husbandry procedure
Depression after spinal cord injury and medication: The journey continues
Depression, Diabetes, and Healthcare Utilization: Results from the Korean Longitudinal Study of Aging (KLoSA)
Depressive Symptoms Are Associated with Mental Stress-Induced Myocardial Ischemia after Acute Myocardial Infarction
Depression Among Patients With Acute Coronary Syndromes
Depression and cardiovascular disease: a clinical review
Depression and chronic kidney disease: A review for clinicians
Depression Screening in Adolescents in the United States: A National Study of Ambulatory Office-Based Practice
Depression and Outcome among Veterans with Implantable Cardioverter Defibrillators with or without Cardiac Resynchronization Therapy Capability
Depression and risk of mortality in individuals with diabetes: a meta-analysis and systematic review
Depression and All-Cause Mortality in Hemodialysis Patients
Depression and Risk of Mortality in People with Diabetes Mellitus: A Systematic Review and Meta-Analysis
Depressive symptoms enhance stress-induced inflammatory responses
Depressive Symptoms and Social Support Among People Living With HIV in Hunan, China
Depression treatment preferences of older white and Mexican origin men
Depression beliefs, treatment preference, and outcomes in a randomized trial for major depressive disorder (vol 46, pg 375, 2012)
Depressive symptoms, health-related quality of life, and cardiac event-free survival in patients with heart failure: a mediation analysis
Depression and Suicide Ideas of Cancer Patients and Influencing Factors in South Korea
Depression and Androgen Deprivation Therapy for Prostate Cancer: A Prospective Controlled Study
Depression in a Latino Man in New York
Depression as a prognostic factor of lumbar spinal stenosis: a systematic review
Depression Among Stroke Survivors: A Community-based, Prospective Study from Kolkata, India
Depression in Older Residents With Stroke Living in Long-Term Care Facilities
Depression and resilience in women with HIV and early life stress: does trauma play a mediating role? A cross-sectional study
Deprivation Amblyopia and Congenital Hereditary Cataract
Depression, C-reactive protein and length of post-operative hospital stay in coronary artery bypass graft surgery patients
Depression among physicians working in public healthcare in Belo Horizonte, Brazil
Depression and anxiety in cancer patients and their relatives
Depression in the elderly in Karachi, Pakistan: a cross sectional study
Deprivation and access to treatment for colorectal cancer in southeast Scotland 2003-2009
Deprivation-related and use-dependent plasticity go hand in hand
Depression and stigma: from attitudes to discrimination
Depression Persistence and Serotonin Transporter Genotype in Adolescents under Usual Care Conditions
Depressive Symptoms, Pain, Chronic Medical Morbidity, and Interleukin-6 among Primary Care Patients
Depressive Symptoms Are Associated with Excess Weight and Unhealthier Lifestyle Behaviors in Urban Adolescents
Depression and infertility in women seeking bariatric surgery
Depression and Anxiety in People with Epilepsy
Depression, Dietary Habits, and Cardiovascular Events Among Women with Suspected Myocardial Ischemia
Depression knowledge in high school students: Effectiveness of the adolescent depression awareness program
Depression in Atrial Fibrillation in the General Population
Depression in Later Life, 2nd edition
Depression after epilepsy surgery
Depression, Diabetic Complications and Disability Among Persons With Comorbid Schizophrenia and Type 2 Diabetes
Depression and outcome
Depression impairs learning, whereas the selective serotonin reuptake inhibitor, paroxetine, impairs generalization in patients with major depressive disorder
Depression inhibits the anti-inflammatory effects of leisure time physical activity and light to moderate alcohol consumption
Depressed Heart Rate Variability is Associated with Abnormal EEG, MRI, and Death in Neonates with Hypoxic Ischemic Encephalopathy
Deprotonation/protonation-driven change of the sigma-donor ability of a sulfur atom in iron(II) complexes with a thioamide SNS pincer type ligand
Depression, smoking and smoking cessation: a qualitative study
Deprivation-Induced Strengthening of Presynaptic and Postsynaptic Inhibitory Transmission in Layer 4 of Visual Cortex during the Critical Period
Depression and its associated factors in pediatric chronic kidney disease
Depression of Type I Diacylglycerol Kinases in Pancreatic beta-Cells From Male Mice Results in Impaired Insulin Secretion
Depression and the risk of psoriasis in US women
Depression and pain among inpatients with spinal cord injury and spinal cord disease: differences in symptoms and neurological function
Depression and Oxidative Stress: Results From a Meta-Analysis of Observational Studies
Depression and Risk of Incident Asthma in Adults The CARDIA Study
Depression during pregnancy: a risk factor for adverse neonatal outcomes? A critical review of the literature
Depressive Symptoms and Career-Related Goal Appraisals: Genetic and Environmental Correlations and Interactions
Depression, self-esteem, diabetes care and self-care behaviors among middle-aged and older Mexicans
Depressed mood predicts pulmonary rehabilitation completion among women, but not men
Depression, Sleep Quality, and Maternal Well-Being in Postpartum Women with a History of Sexual Assault: A Comparison of Breastfeeding, Mixed-Feeding, and Formula-Feeding Mothers
Depression and All-Cause Mortality in Persons with Diabetes Mellitus: Are Older Adults at Higher Risk? Results from the Translating Research Into Action for Diabetes Study
Depressive symptoms and SES among the mid-aged and elderly in China: Evidence from the China Health and Retirement Longitudinal Study national baseline
Depression Self-Management Assistance Using Automated Telephonic Assessments and Social Support
Depressive symptomatology, quality of life and disease control among individuals with well-characterized severe asthma
Depressive Symptoms and Compromised Parenting in Low-Income Mothers of Infants and Toddlers: Distal and Proximal Risks
Depressive Symptoms in Youth with Inflammatory Bowel Disease Compared with a Community Sample
Depression and treatment response: dynamic interplay of signaling pathways and altered neural processes
Depression in the elderly: brain correlates, neuropsychological findings, and role of vascular lesion load
Depression, antidepressant medications, and risk of Clostridium difficile infection
Depression in patients with idiopathic pulmonary fibrosis
Depression as a Predictor of Length of Stay in Patients Admitted to the Cardiovascular Intensive Care Unit at a University Medical Center
Depression and anxiety in patients with hereditary angioedema
Depression screening in Black Americans with multiple sclerosis
Depressed Atrial Function in Diastolic Dysfunction: A Speckle Tracking Imaging Study
Depression Treatment Among Rural Older Adults: Preferences and Factors Influencing Future Service Use
Depression Research in Under-Resourced Populations: An Academic-Community Partnership
Depressurization experiment of pressure cores from the central Ulleung Basin, East Sea: Insights into gas chemistry
Depressive Behavior and Activation of the Orexin/Hypocretin System
Depression and Anxiety in People with Epilepsy (vol 10, pg 175, 2014)
Depression in systemic lupus erythematosus, dependent on or independent of severity of disease
Depression contributed an unsatisfactory surgery outcome among the posterior decompression of the cervical spondylotic myelopathy patients: a prospective clinical study
Depression in context of low neuroticism is a risk factor for stroke A 9-year cohort study
Depression in hemodialysis patients: the role of dialysis shift
Depression, Pain, and Self-Efficacy in Fibromyalgia Syndrome
Depression of neuronal activity by sedatives is associated with adverse effects after brain injury
Depressive symptoms and rates of bone loss at the hip in older men
Deprivation and ethnicity impact on diabetes control and use of treatment regimen
Depressive Symptoms in Patients With Cancer: Does Cortisol Keep Cytokines From Singing the Blues?
Depression and recovery of reflex amplitude during electrical stimulation after spinal cord injury
Depressive symptoms are associated with reduced neutrophil function in hip fracture patients
Deprivation, winter season, and COPD exacerbations
Depression Screening and Management Among Adolescents in Primary Care: Factors Associated With Best Practice
Depressive Symptoms in Latina Breast Cancer Survivors: A Barrier to Cancer Screening
Depression and Kidney Transplantation
Depressive disorders in internal and neurological diseases
Depressive Symptoms in Adolescence: A Poor Indicator of Increases in Body Mass Index
Deprived TLR9 Expression in Apparently Healthy Nasal Mucosa Might Trigger Polyp-Growth in Chronic Rhinosinusitis Patients
Depression in a Pakistani Woman
Deprivation indices and childhood BMI: a re-evaluation
Depression treatment after myocardial infarction and long-term risk of subsequent cardiovascular events and mortality: A randomized controlled trial
Depression in Children and Adolescents with Epilepsy: a 15 Year Research Review of Prevalence, and Demographic and Seizure Related Correlates
Depression- and anxiety-related sick leave and the risk of permanent disability and mortality in the working population in Germany: a cohort study
Depression pathogenesis and treatment: what can we learn from blood mRNA expression?
Depression as a non-causal variable risk marker in coronary heart disease
Depression in small-vessel disease relates to white matter ultrastructural damage, not disability
Depressive disorder, coronary heart disease, and stroke: dose-response and reverse causation effects in the Whitehall II cohort study
Depressive Symptom Clusters as Predictors of Incident Coronary Artery Disease: A 15-Year Prospective Study
Depression in Primary Care Patients with Coronary Heart Disease: Baseline Findings from the UPBEAT UK Study
Depression and anxiety are associated with a diagnosis of hypertension 5 years later in a cohort of late middle-aged men and women
Depression and anxiety in the practice of cardiology
Depression in old age in Austria, Ireland, Portugal and Sweden
Depression during pregnancy: molecular regulations of mothers' and children's behaviour
Depression and Cognition: How Do They Interrelate in Old Age?
Depression in silent lacunar infarction: a cross-sectional study of its association with location of silent lacunar infarction and vascular risk factors
Depression in first episode psychosis: The role of subordination and shame
Depressive mood and frontal alpha asymmetry during the luteal phase in premenstrual dysphoric disorder
Depressive Symptoms in Women With Physical Disabilities: Identifying Correlates to Inform Practice
Depressive symptoms and white blood cell count in coronary heart disease patients: Prospective findings from the Heart and Soul Study
Depression-Related Work Disability: Socioeconomic Inequalities in Onset, Duration and Recurrence
Depression in glioma: a primer for clinicians and researchers
Depression following hip fracture is associated with increased physical frailty in older adults: the role of the cortisol: dehydroepiandrosterone sulphate ratio
Depressed Facial Scars Successfully Treated with Autologous Platelet-Rich Plasma and Light-Emitting Diode Photo-therapy at 830 nm
Depression and the older medical patient When and how to intervene
Depressed GABA and glutamate synaptic signaling by 5-HT1A receptors in the nucleus tractus solitarii and their role in cardiorespiratory function
Depression in cardiovascular patients in the Middle Eastern populations
Depression in Japanese Patients With Chronic Obstructive Pulmonary Disease: A Cross-Sectional Study
Depression Subtypes in Pediatric Inflammatory Bowel Disease
Depressive symptoms are prevalent in childhood-onset systemic lupus erythematosus (cSLE)
Depressive symptoms and white matter dysfunction in retired NFL players with concussion history
Depression of deintercalation of 4-hydroxy-3-methoxybenzoic acid from Zn2Al layered double hydroxide by direct coating with silica
Depression and Clinical Inertia in Patients With Uncontrolled Hypertension
Depression and anxiety in Babylon
Depression, 5HTTLPR and BDNF Val66Met polymorphisms, and plasma BDNF levels in hemodialysis patients with chronic renal failure
Depression and Death in Diabetes; 10-Year Follow-Up of All-Cause and Cause-Specific Mortality in a Diabetic Cohort
Depression and Health Related Quality of Life in Adolescent Survivors of a Traumatic Brain Injury: A Pilot Study
Depressive symptoms in Parkinson's disease are related to reduced [I-123]FP-CIT binding in the caudate nucleus
Deprotection/reprotection of the amino group in alpha-amino acids and peptides. A one-pot procedure in [Bmim][BF4] ionic liquid
Depressive Symptoms Contribute to Increased Wave Reflection During Cold Pressor Test in Young Adult Men
Depressive symptoms in hip fracture patients are associated with reduced monocyte superoxide production
Depression and ways of coping with stress: A preliminary study
Depression in Alzheimer's Disease: Epidemiology, Mechanisms, and Management
Depression in paediatric chronic fatigue syndrome
Depression, Neuroticism, and Urinary Incontinence in Premenopausal Women: A Nationwide Twin Study
Depression, anxiety, and suicidal ideation among Vietnamese secondary school students and proposed solutions: a cross-sectional study
Depression of the normal-superfluid transition temperature in gated bilayer graphene
Depressive and Anxiety Disorders Predicting First Incidence of Alcohol Use Disorders: Results of the Netherlands Study of Depression and Anxiety (NESDA)
Depression in Mild Cognitive Impairment is associated with Progression to Alzheimer's Disease: A Longitudinal Study
Depression, antidepressants, and the risk of coronary heart disease: A population-based cohort study
Depression in medical students: Cluster symptoms and management
Depression and mortality: Artifact of measurement and analysis?
Depressive Symptoms in New First-Time Fathers: Associations with Age, Sociodemographic Characteristics, and Antenatal Psychological Well-Being
Depression and social anxiety in help-seeking patients with an ultra-high risk for developing psychosis
Depression and decision-making capacity for treatment or research: a systematic review
Depressed mood and quality of life after subarachnoid hemorrhage
Depression Is Associated With Early Postoperative Outcomes Following Total Joint Arthroplasty: A Nationwide Database Study
Depressing effect of electroacupuncture on the spinal non-painful sensory input of the rat
Depression-Like Effect of Prenatal Buprenorphine Exposure in Rats
Deprotonation of Methyl-Substituted, Five-Membered Aromatic Molecules: A Surprising Case of Mixed Conjugation, Rehybridization, and Induction Contributions
Depressive Symptom Clusters as Predictors of 6-Year Increases in Insulin Resistance: Data From the Pittsburgh Healthy Heart Project
Deprotonation Mechanism and Acidity Constants in Aqueous Solution of Flavonols: a Combined Experimental and Theoretical Study
Deprotonation of a hydrophosphorane and synthesis of the Ni(II) metallophosphorane [NiCl{P(OC6H4N(CH3))(2)}(P(CH3)(3))(2)]
Depression and adherence to treatment in diabetic children and adolescents: a systematic review and meta-analysis of observational studies
Depressor Septi Nasi Modifications in Rhinoplasty: A Review of Anatomy and Surgical Techniques
Depression, Anxiety, Stress and Hyperemesis Gravidarum: Temporal and Case Controlled Correlates
Deprotonation of Poly(4-hydroxystyrene) Intermediates: Pulse Radiolysis Study of Extreme Ultraviolet and Electron Beam Resist
Deprotonation-Induced Structural Changes in SNS-Pincer Ruthenium Complexes with Secondary Thioamide Groups
Deprotonation/Protonation Induced Spectral Switching of 1,8-Naphthalimide Dye
Depression May Reduce Adherence during CPAP Titration Trial
Deprotonation of C-Alkyl Groups of Cationic Triruthenium Clusters Containing Cyclometalated C-Alkylpyrazinium Ligands: Experimental and Computational Studies
Depression as first manifestation of a large intracerebral lymphoma
Depression and BMI influences the serum vascular endothelial growth factor level
Depression and Risk of Stroke in Midaged Women A Prospective Longitudinal Study
Depressive Mood Modulates the Anterior Lateral CA1 and DG/CA3 During a Pattern Separation Task in Cognitively Intact Individuals: A Functional MRI Study
Deprotonative Metalation of Chlorothiophene with Grignard Reagents and Catalytic cis-2,6-Dimethylpiperidine under Mild Conditions
Deprotonation induced formation of Mobius aromatic [32]heptaphyrins
Deprotonation of N3 adsorbed on TiO2 for high-performance dye-sensitized solar cells (DSSCs)
Deprotonation mechanism of a single-stranded DNA i-motif
Depressive symptoms, atherosclerotic burden and cerebral blood flow disturbances in a cohort of octogenarian men from a general population
Depressor Muscle Division Through a Subbrow Excision for the Improvement of Brow Ptosis
Depression of Local Cell-mediated Immunity and Histological Characteristics of Disseminated AIDS-related Mycobacterium avium Infection after the Initiation of Antiretroviral Therapy
Depressive symptomatology and quality of life assessment among women using the levonorgestrel-releasing intrauterine system: an observational study
Depressive phenomenology at the outset of neuropaediatric diseases
Depressive symptoms in Parkinson%26apos;s disease and in non-neurological medical illnesses
Depression and Serotonin: A Never Ending Story
Depressive-like behavior induced by tumor necrosis factor-alpha is abolished by agmatine administration
Depression Among Cardiovascular Patients Living in a Middle Eastern Country - Preliminary findings from a cross-sectional survey
Depressed Systolic Function after a Prolonged and Strenuous Exercise
Deprenyl Enhances the Teratogenicity of Hydroxyurea in Organogenesis Stage Mouse Embryos
Depression and Anxiety Following Deep Brain Stimulation in Parkinson%26apos;s Disease: Systematic Review and Meta-Analysis
Depression in Parkinson%26apos;s Disease: Identification and Management
Depressed type of intramucosal differentiated-type gastric cancer has high cell proliferation and reduced apoptosis compared with the elevated type
Depression in epilepsy A systematic review and meta-analysis
Depression and Cognitive Impairment in Parkinson%26apos;s Disease: A Role for Inflammation and Immunomodulation?
Depression and systemic lupus erythematosus: a systematic review
Depression and Anxiety in Psoriatic Disease: Prevalence and Associated Factors
Depressed mood in breast cancer survivors: Associations with physical activity, cancer-related fatigue, quality of life, and fitness level
Depressant function of high molecular weight polyacrylamide in the xanthate flotation of chalcopyrite and galena
Deprotonation and chelation synergically triggered near infrared fluorescence for selective detection of Hg(II)
Depression, anxiety and somatic symptoms in peri- and postmenopausal women
Depression in patients with hidradenitis suppurativa
Depressed Frank-Starling mechanism in the left ventricular muscle of the knock-in mouse model of dilated cardiomyopathy with troponin T deletion mutation Delta K210
Deproteinized natural rubber film forming polymeric solutions for nicotine transdermal delivery
Depression, anxiety-like behavior and memory impairment are associated with increased oxidative stress and inflammation in a rat model of social stress
Depression, Anxiety, Stress, Anger in IBS Patients
Depression in primary TKA and higher medical comorbidities in revision TKA are associated with suboptimal subjective improvement in knee function
Depression of particle growth with calcination at low temperature and their heat generation property in AC magnetic field for the nano-sized magnetic Y3Fe5O12-nSmFeO(3) powders prepared by bead-milling
Depression Increases Sympathetic Activity and Exacerbates Myocardial Remodeling after Myocardial Infarction: Evidence from an Animal Experiment
Depression, Anxiety and Stress Scale in patients with tinnitus and hearing loss
Depressive, functional status, and neuropsychiatric symptom trajectories before an Alzheimer's disease diagnosis
Depression and Parkinson%26apos;s Disease: Current Knowledge
Deproteination of serum samples for LC-MS/MS analyses by applying magnetic micro-particles
Depression according to age and gender: An analysis in a community
Depression-related behavior and mechanical allodynia are blocked by 3-(4-fluorophenylselenyl)-2,5-diphenylselenophene in a mouse model of neuropathic pain induced by partial sciatic nerve ligation
Depressive feelings in children with narcolepsy
Deprivation and faecal haemoglobin: implications for bowel cancer screening
Depression of Focal Adhesion Kinase Induces Apoptosis in Rat Osteosarcoma OSR-6 Cells in a Caspase-Dependent Pathway
Depression and HAART adherence in HIV infected patients attending Hospital San Pablo of Coquimbo, Chile
Depression, Anxiety and the Bladder
Deprivation, timing of preschool infections and H. pylori seropositivity at age 49-51 years: the Newcastle thousand families birth cohort
Depression affects specifically executive functioning: new evidence from older population
Depression, stress and body fat are associated with binge eating in a community sample of African American and Hispanic women
Depression and cardiovascular risk factors: evidence from a large postmortem sample
Depression and health related quality of life in breast cancer patients
Depression, dementia and cognition in older people
Depressive symptoms and cardiovascular burden-related mortality among the aged
Deprotonation of para-sulphonatocalix[4]arene in water-methanol mixtures
Depression increases in patients with Parkinson's disease according to the increasing severity of the cognitive impairment
Deprivation and health risk indicators in full-time permanent workers
Depression, antidepressants, and long-term mortality in heart failure
Depressive symptoms among older adults: The impact of early and later life circumstances and marital status
Depression and cardiac symptoms among AL amyloidosis patients: the mediating role of coping strategies
Depressing Mitochondria-Reticulum Interactions Protects Cardiomyocytes From Lethal Hypoxia-Reoxygenation Injury
Depressive symptoms are associated with dietary intake but not physical activity among overweight and obese women from disadvantaged neighborhoods
Depression in obese patients with primary fibromyalgia: the mediating role of poor sleep and eating disorder features
Depression and outcome Response
Depression following myocardial infarction
Depression in the elderly with visual impairment and its association with quality of life
Depression, Self-efficacy, and Adherence in Patients With Type 2 Diabetes
Deprescribing: a new word to guide medication review
Depressive burden is associated with a poorer surgical outcome among lumbar spinal stenosis patients: a 5-year follow-up study
Depressive symptoms and regional cerebral blood flow in Alzheimer's disease
Depressive symptoms, antidepressants and disability and future coronary heart disease and stroke events in older adults: the Three City Study
Depression is correlated with the psychological and physical aspects of sexual dysfunction in men
Depressive Symptoms and Mental Stress-Induced Myocardial Ischemia in Patients With Coronary Heart Disease
Depression in cancer patients: how to detect and manage it
Depressive morbidity among elderly individuals who are hospitalized, reside at long-term care facilities, and are under outpatient care in Brazil: a meta-analysis
Deprotonation of resorcinarenes by mono- and diamine bases: complexation and intermolecular interactions in the solid state
Depressive Symptoms Before and After Long-term CPAP Therapy in Patients With Sleep Apnea
Depressive Symptoms and Associated Factors in Systemic Lupus Erythematosus
Depression and Anxiety Levels Increase Chronic Musculoskeletal Pain in Patients with Alzheimer's Disease
Depression in Terminally Ill Patients: Dilemmas in Diagnosis and Treatment
Depression in patients with colorectal cancer
Depression in patients with pemphigus: Is it a major concern?
Depression among a group of elders in Alexandria, Egypt
Deprivation as an outcome determinant in emergency medical admissions
Depression is associated with some patient-perceived cosmetic changes, but not with radiotherapy-induced late toxicity, in long-term breast cancer survivors
Depression after CABG: a prospective study
Depressive Symptoms and Risk of New Cardiovascular Events or Death in Patients with Myocardial Infarction: A Population-Based Longitudinal Study Examining Health Behaviors and Health Care Interventions
Depression and temporal lobe epilepsy represent an epiphenomenon sharing similar neural networks: clinical and brain structural evidences
Deprivation and Colorectal Cancer Surgery: Longer-Term Survival Inequalities are Due to Differential Postoperative Mortality Between Socioeconomic Groups
Depressive symptoms and harmful alcohol use in hepatitis C patients: prevalence and correlates
Depression discrimination using fMRI and DTI data by wavelet based fusion scheme
Depression and anxiety symptoms post-stroke/TIA: prevalence and associations in cross-sectional data from a regional stroke registry
Deproto-metallation using mixed lithium-zinc and lithium-copper bases and computed CH acidity of 2-substituted quinolines
Depression and cognitive impairment in patients with mild parkinsonian signs
Depressive symptoms are frequent among drug users, but not associated with hepatitis C infection
Depression-like behavior is dependent on age in male SAMP8 mice
Depressives have the better view - the influence of mood on the recognition of emotional expression
Deprea zamorae (Physalideae, Solanoideae, Solanaceae): a new species from southern Ecuador
Depression in schizophrenia: The influence of the different dimensions of insight
Depression mechanism of small molecular mercapto organic depressants on flotation behavior of complex sulfides
Depressurization mechanism and design principles of vortex drilling-bit
Deprenyl拮抗MPTP神经毒性作用的实验研究
Depression care management for adults older than 60 years in primary care clinics in urban China: a cluster-randomised trial
Depressing thermal conductivity of fullerene by caging rare gas
Depressive effects evaluation of ethylene-vinyl acetate copolymer on waxy crude oils
Deprotonated Water Dimers: The Building Blocks of Segmented Water Chains on Rutile RuO2(110)
Depression among Migrant and Left-Behind Children in China in Relation to the Quality of Parent-Child and Teacher-Child Relationships
Depressive symptom severity, contributing factors, and self-management among chronic dialysis patients
Depressed mantle discontinuities beneath Iceland: Evidence of a garnet controlled 660 km discontinuity?
Depression requiring anti-depressant drug therapy in adult congenital heart disease: prevalence, risk factors, and prognostic value
Depressive Trajectories and Risk of Disability and Mortality in Older Adults: Longitudinal Findings From the Health, Aging, and Body Composition Study
Depression predicts persistence of paranoia in clinical high-risk patients to psychosis: results of the EPOS project
Depression Quality of Care: Measuring Quality over Time Using VA Electronic Medical Record Data
Depressive symptomatology and associated factors in dementia in Europe: home care versus long-term care
Depression and clinical progression in spinocerebellar ataxias
Depression and disability in people with podoconiosis: a comparative cross-sectional study in rural Northern Ethiopia
Depression, Antidepressant Use, and Postmenopausal Breast Cancer Risk
Depression is a risk factor for incident coronary heart disease in women: An 18-year longitudinal study
Depressive symptomatology should be systematically controlled for in neuroticism research
Depressive symptoms in first-episode psychosis: a 10-year follow-up study
Depressing the hydrogenation and decomposition reaction in H2O2 synthesis by supporting AuPd on oxygen functionalized carbon nanofibers
Depression or anxiety and all-cause mortality in adults with atrial fibrillation - A cohort study in Swedish primary care
Depression Waves Generated by Large Ships in the Venice Lagoon
Deprotonation of Water/Hydroxo Ligands in Clusters Mimicking the Water Oxidizing Complex of PSII and Its Effect on the Vibrational Frequencies of Ligated Carboxylate Groups
Depressive Symptoms and Social Support in Adolescents With Type 2 Diabetes
Depression and Mortality in Patients with Acute Coronary Syndrome Reply
Depression among unaccompanied minor refugees: the relative contribution of general and acculturation-specific daily hassles
Depression, posttraumatic stress, and alcohol misuse in young adult veterans: The transdiagnostic role of distress tolerance
Depressive Mood and Testosterone Related to Declarative Verbal Memory Decline in Middle-Aged Caregivers of Children with Eating Disorders
Depressed mood, anxiety, and the use of labor analgesia
Depression and Survival in Patients With Head and Neck Cancer A Systematic Review
Depression of Complement Regulatory Factors in Rat and Human Renal Grafts Is Associated with the Progress of Acute T-Cell Mediated Rejection
Depression in Heart Failure: Is It Well Recognized?
Deprotonated curcumin as a simple and quick available natural dye for dye sensitized solar cells
Depressive symptoms and glycated hemoglobin A1c: a reciprocal relationship in a prospective cohort study
Depressive disorder in Mexican pediatric patients with systemic lupus erythematosus (SLE)
Depression as a risk factor for fracture in women: A 10 year longitudinal study
Depression in Mexican Americans with diagnosed and undiagnosed diabetes
Deprescribing in Frail Older People: A Randomised Controlled Trial
Depression screening after cardiac surgery: A six month longitudinal follow up for cardiac events, hospital readmissions, quality of life and mental health
Deprivation and its impact on non-urgent Paediatric Emergency Department use: are Nurse Practitioners the answer?
Depressive Symptoms Were Prevalent Among Left-Behind Women in Ma'anshan, China
Depression After First Hospital Admission for Acute Coronary Syndrome: A Study of Time of Onset and Impact on Survival
Depression, Serotonin and Tryptophan
Depression as a risk factor for cognitive impairment in later life: the Health In Men cohort study
Depression and AIDS Preventive Self-efficacy Among Taiwanese Adolescents
Depressive symptoms predict non-completion of a structured exercise intervention for people with Type 2 diabetes
Depressive Symptoms and Small Hippocampal Volume Accelerate the Progression to Dementia from Mild Cognitive Impairment
Depressed scar after filler injection successfully treated with pneumatic needleless injector and radiofrequency device
Deproteinization stabilises dentin bonding of self-adhesive resin cements after thermocycling
Deproteinization and structural characterization of bioactive exopolysaccharides from Ganoderma sinense mycelium
Depression, Asthma, and Bronchodilator Response in a Nationwide Study of US Adults
Depression as a Glial-Based Synaptic Dysfunction
Deprotonation of Water Ligands in V, Cr, Mn, Fe, and Co Complexes Reduces Oxidation-Driven Carboxylate Ligand Frequency Shifts
Depression and Cognitive Impairment in Peritoneal Dialysis: A Multicenter Cross-sectional Study
Depressed REM Sleep Behavior Disorder Patients Are Less Likely to Recall Enacted Dreams than Non-Depressed Ones
Depression Risk Predicts Blunted Neural Responses to Gains and Enhanced Responses to Losses in Healthy Children
Depressive symptoms in patients with heart failure negatively affect family caregiver outcomes and quality of life
Depressive Symptoms, Substance Use and Partner Violence Victimization Associated with HIV Disclosure Among Men Who have Sex with Men
Depression-like episodes in mice harboring mtDNA deletions in paraventricular thalamus
Depression
Depression and Disturbed Bone Metabolism: A Narrative Review of the Epidemiological Findings and Postulated Mechanisms
Depressive symptoms and cognitive decline: A longitudinal analysis of potentially modifiable risk factors in community dwelling older adults
Depressed basal hypothalamic neuronal activity in type-1 diabetic mice is correlated with proinflammatory secretion of HMBG1
Depression is under-recognised in the sport setting: time for primary care sports medicine to be proactive and screen widely for depression symptoms
Depression, patient characteristics, and attachment style: correlates and mediators of medication treatment adherence in a racially diverse primary care sample
Depressive Symptoms and Subclinical Vascular Disease The Role of Regular Physical Activity
Depression, immune function, and early adrenarche in children
Depressive symptoms, life satisfaction and prevalence of sleep disturbances in the general population of Germany: results from the Heinz Nixdorf Recall study
Depression and anxiety symptoms of mothers of preterm infants are decreased at 4 months corrected age with Family Nurture Intervention in the NICU
Depression predicts future emergency hospital admissions in primary care patients with chronic physical illness
Depression as a mediator of the relation between family functioning and functional disability in youth with chronic headaches
Depressive Symptoms Before, During, and After Delirium: A Literature Review
Depression as a systemic syndrome: mapping the feedback loops of major depressive disorder
Depression and Somatization Are Associated With Increased Postprandial Symptoms in Patients With Irritable Bowel Syndrome
Depression and postoperative complications: an overview
Depression During Pregnancy and Postpartum
Depressive Symptoms During Adolescence and Young Adulthood and the Development of Type 2 Diabetes Mellitus
Depressive symptomatology and the influence of the behavioral avoidance and activation: A gender-specific investigation
Depression, anxiety and non-motor symptoms on initiation of intrajejunal levodopa/carbidopa therapy
Depression and Oropharynx Cancer Outcome
Depression in multiple sclerosis: effect of brain derived neurotrophic factor Val66Met polymorphism and disease perception
Depressed attainable wheat yields under continuous annual no-till agriculture suggest declining soil productivity
Depression and health related quality of life in breast cancer patients (Retraction of vol 63, pg 69, 2013)
Depression, Stressful Life Events, and the Impact of Variation in the Serotonin Transporter: Findings from the National Longitudinal Study of Adolescent to Adult Health (Add Health)
Depressive Symptoms and Risk of Postoperative Delirium
Deprivation influences the emergency admission rate of ambulatory care sensitive conditions
Depression Symptomatology and Anterior Cruciate Ligament Injury: Incidence and Effect on Functional Outcome - Prospective Cohort Study
Depressive Symptoms in Children with Chronic Kidney Disease
Depressive, anxiety and posttraumatic stress disorders as long-term sequelae of intensive care treatment
Depression-Burnout Overlap in Physicians
Depression and telomere length: Ameta-analysis
Depression Trajectories During the First Year After Spinal Cord Injury
Depressive symptoms are associated with tumor necrosis factor alpha in systemic lupus erythematosus
Depression among people with type 2 diabetes mellitus, US National Health and Nutrition Examination Survey (NHANES), 2005-2012
Depressive-like behavior observed with a minimal loss of locus coeruleus (LC) neurons following administration of 6-hydroxydopamine is associated with electrophysiological changes and reversed with precursors of norepinephrine
Depression as a Modifying Factor in Sport-Related Concussion: A Critical Review of the Literature
Depression mediates impaired glucose tolerance and cognitive dysfunction: A neuromodulatory role of rosiglitazone
Depressive symptoms and anxiety in intensive care unit (ICU) survivors after ICU discharge
Depression and subsequent risk of Parkinson disease A nationwide cohort study
Depressive symptoms among children whose parents have serious mental illness: Association with children's threat-related beliefs about mental illness
Depression and Insulin Resistance: Additional Support for the Novel Heuristic Model in Perimenopausal Depression
Depressive symptoms are doubled in older British South Asian and Black Caribbean people compared with Europeans: associations with excess co-morbidity and socioeconomic disadvantage
Depression and anxiety during pregnancy and the postpartum period in women with epilepsy: A review of frequency, risks and recommendations for treatment
Depression and violence-what do we really know? Reply
Depressed mood amplifies heart-related symptoms in persistent and paroxysmal atrial fibrillation patients: a longitudinal analysis-data from the German Competence Network on Atrial Fibrillation
Depression-associated ARNTL and PER2 genetic variants in psychotic disorders
Depression and pain: An appraisal of cost effectiveness and cost utility of antidepressants
Depressive symptoms, cognitive impairment, and metabolic syndrome in community-dwelling elderly in Southern Taiwan
Depression: from psychopathology to pathophysiology
Depression, self-esteem and sexual function in laryngeal cancer patients
Depression in Persons with Diabetes by Age and Antidiabetic Treatment: A Cross-Sectional Analysis with Data from the Hordaland Health Study
Depression and growth recovery of silver fir in uneven-aged Dinaric forests in Croatia from 1901 to 2001
Depression: An important factor associated with disability among patients with chronic low back pain
Depression and Caregiving Burden in Families of Patients with Amyotrophic Lateral Sclerosis
Depression and Sexual Dysfunction Among HIV-Positive and HIV-Negative Men Who Have Sex With Men: Mediation by Use of Antidepressants and Recreational Stimulants
Depressive Symptoms, Antidepressant Medication Use, and New Onset of Diabetes in Participants of the Diabetes Prevention Program and the Diabetes Prevention Program Outcomes Study
Depression and the Risk of Peptic Ulcer Disease A Nationwide Population-Based Study
Depressive-like phenotype induced by AAV-mediated overexpression of human alpha-synuclein in midbrain dopaminergic neurons
Depression and Insulin Resistance: Additional Support for the Novel Heuristic Model in Perimenopausal Depression Response
Depression and Synaptic Zinc Regulation in Alzheimer Disease, Dementia with Lewy Bodies, and Parkinson Disease Dementia
Depression and anxiety among parents of phenylketonuria children
Depression Among Hospitalized and Non-Hospitalized Gonadal Cancer Patients in Tertiary Care Public Hospitals in Karachi
Depressive symptoms associated with dabigatran: a case report
Depressive symptoms in first episode schizophrenia patients under treatment: one-year follow-up comparison of classical and atypical antipsychotics
Depressive symptoms and other risk factors predicting suicide in middle-aged men: a prospective cohort study among Korean Vietnam War veterans
Depressive-like symptoms in a reserpine-induced model of fibromyalgia in rats
Depression Trajectories, Inflammation, and Lifestyle Factors in Adolescence: The TRacking Adolescents' Individual Lives Survey
Depression, anxiety and 6-year risk of cardiovascular disease
Depression in later life: A more somatic presentation?
Depression-risk factor or early symptom in Parkinson disease?
Depression, Vascular Factors, and Risk of Dementia in Primary Care: A Retrospective Cohort Study
Depressive symptomatology in severe dementia in a European sample: prevalence, associated factors and prescription rate of antidepressants
Depressive Symptoms in Parkinson's Disease Are Related to Decreased Hippocampus and Amygdala Volume
Depressive Symptoms Anticipate Changes in the Frequency of Alcohol Intoxication Among Low-Accepted Adolescents
Depressive symptoms and externalizing behaviors among Hispanic immigrant adolescents: Examining longitudinal effects of cultural stress
Deprivation and suicide mortality across 424 neighborhoods in Seoul, South Korea: a Bayesian spatial analysis
Depression Outcomes Associated With an Intervention Implemented in Employment Training Programs for Low-Income Adolescents and Young Adults
Depressive and anxiety symptoms and social support are independently associated with disease-specific quality of life in Colombian patients with rheumatoid arthritis
Depressive symptoms are independently associated with pain perception in Colombians with rheumatoid arthritis
Depressive symptoms, pain, and sexual dysfunction over the first year following vaginal or cesarean delivery: A prospective longitudinal study
Depression screening and advisory service provided by community pharmacist for depressive students in university
Depression and anxiety in women with epilepsy during pregnancy and after delivery: A prospective population-based cohort study on frequency, risk factors, medication, and prognosis
Depression increases the onset of cardiovascular disease over and above other determinants in older primary care patients, a cohort study
Depression as a risk factor for overall and hormone-related cancer: The Korean cancer prevention study
Depression and anxiety in patients with and without same-sex attraction: differences in clinical expression, lifestyle factors, and vulnerability indicators
Depression in older cat and dog owners: the Nord-Trondelag Health Study (HUNT)-3
Depression and nicotine dependence from adolescence to young adulthood
Depressive Mood, Anger, and Daily Cortisol of Caregivers on High- and Low-Stress Days
Depressive Symptoms, Daily Stress, and Adherence in Late Adolescents With Type 1 Diabetes
Depression in the US Population During the Time Periods Surrounding the Great Recession
Depression, substance abuse and stigma among men who have sex with men in coastal Kenya
Depression, Obesity, and Metabolic Syndrome: Prevalence and Risks of Comorbidity in a Population-Based Representative Sample of Mexican Americans
Depressive symptoms influence use of feedback for motor learning and recovery in chronic stroke
Depression and anxiety during the perinatal period
Depression among patients with tuberculosis: determinants, course and impact on pathways to care and treatment outcomes in a primary care setting in southern Ethiopia-a study protocol
Depressive Symptoms and Walking in African-Americans
Depressive Symptoms Impact Health-Promoting Lifestyle Behaviors and Quality of Life in Healthy Women
Depression, depressive symptoms, and rate of hippocampal atrophy in a longitudinal cohort of older men and women
Depression associated with dementia
Depression of the Thoracolumbar Posterior Vertebral Body on the Estimation of Cement Leakage in Vertebroplasty and Kyphoplasty Operations
Depressive Symptoms and Associated Clinical Characteristics in Outpatients Seeking Community-Based Treatment for Alcohol and Drug Problems
Depression and anxiety before and after limb length discrepancy correction in patients with unilateral developmental dysplasia of the hip
Depression and Quality of Life in Relation to Decreased Glomerular Filtration Rate Among Adults with Hypertension in Rural Northeast China
Depression and anxiety are associated with reduced antiepileptic drug adherence in Chinese patients
Depression in multiple sclerosis: A long-term longitudinal study
Depression as a predictor of postoperative functional performance status (PFPS) and treatment adherence in head and neck cancer patients: a prospective study
Depression prevention, labour force participation and income of older working aged Australians: A microsimulation economic analysis
Depression rating scales in Parkinson's disease: A critical review updating recent literature
Depressive symptoms and adverse outcomes from hospitalization in older adults: Secondary outcomes of a trial of falls prevention education (vol 60, pg 96, 2015)
Depression symptoms during pregnancy: Evidence from Growing Up in New Zealand
Depression rather than liver impairment reduces quality of life in patients with hepatitis C
Depression and sexual risk behaviours among people who inject drugs: a gender-based analysis
Depressing effect of flocculants on molybdenite flotation
Depression Remission Rates Among Older Black and White Adults: Analyses From the IRL-GREY Trial
Depression and anxiety in expectant and new fathers: longitudinal findings in Australian men
Depression and Employment Status in Primary and Tertiary Care Settings
Depression, Frailty, and All-Cause Mortality: A Cohort Study of Men Older than 75 Years
Depression in cancer patients: Pathogenesis, implications and treatment
Depression and the incidence of urinary incontinence symptoms among young women: Results from a prospective cohort study
Deprescribing benzodiazepines and Z-drugs in community-dwelling adults: a scoping review
Depressive-like behaviours and decreased dendritic branching in the medial prefrontal cortex of mice with tumors: A novel validated model of cancer-induced depression
Depression screening via a smartphone app: cross-country user characteristics and feasibility
Depressive symptoms predict future simple disease activity index scores and simple disease activity index remission in a prospective cohort of patients with early inflammatory polyarthritis
Depression and anxiety symptoms in male veterans and non-veterans: the Health and Retirement Study
Depression Subtypes in Predicting Antidepressant Response: A Report From the iSPOT-D Trial
Depression in Youth With Autism Spectrum Disorder: The Role Of ASD Vulnerabilities and Family-Environmental Stressors
Depredation by killer whale (Orcinus orca) and false killer whale (Pseudorca crassidens) on the catch of the Uruguayan pelagic longline fishery in Southwestern Atlantic Ocean
Depression and anxiety disorders and the link to physician diagnosed cardiac disease and metabolic risk factors
Depression and Outcome of Fear of Falling in a Falls Prevention Program
Depression, stroke and gender: evidence of a stronger association in men
Depression and quality of life before and after breast cancer diagnosis in older women from the Women's Health Initiative
Depression trajectories and the association with metabolic adversities among the middle-aged adults
Depression and diabetes: treatment and health-care delivery
Depression in HIV Infected Patients: a Review
Depression and anxiety in ovarian cancer: a systematic review and meta-analysis of prevalence rates
Depression, metabolic control, and antidepressant medication in young patients with type 1 diabetes
Deprivation and Recovery of Sleep in Succession Enhances Reflexive Motor Behavior
Depressive symptoms and early retirement intentions among Danish eldercare workers: Cross-sectional and longitudinal analyses
Depression of corticomotor excitability after muscle fatigue induced by electrical stimulation and voluntary contraction
Depression and Posttraumatic Stress Disorder in Temporary Settlement Residents 1 Year After the Sichuan Earthquake
Depressive Symptoms before and after Treatment of Obstructive Sleep Apnea in Men and Women
Depression and the risk of autoimmune disease: a nationally representative, prospective longitudinal study
Depression and Human Immunodeficiency Virus Infection Are Risk Factors for Incident Heart Failure Among Veterans Veterans Aging Cohort Study
Depressive episodes, symptoms, and trajectories in women recently diagnosed with breast cancer
Depressed calcium cycling contributes to lower ischemia tolerance in hearts of estrogen-deficient rats
Depressed affect and dietary restraint in adolescent boys' and girls' eating in the absence of hunger
Depressive state and chronic fatigue in multiple sclerosis and neuromyelitis optica
Depression of voltage-activated Ca2+ release in skeletal muscle by activation of a voltage-sensing phosphatase
Depression and emotional stress is highly prevalent among women with recurrent pregnancy loss
Depression in Caregivers of Status-Naive Pediatric HIV Patients Participating in a Status Disclosure Study in Haiti and the Dominican Republic: Preliminary Report
Depressive Symptoms in Younger Women and Men With Acute Myocardial Infarction: Insights From the VIRGO Study
Depression sum-scores don%26apos;t add up: why analyzing specific depression symptoms is essential
Depression and Quality of Life in Older Persons: A Review
Depressive Rumination, the Default-Mode Network, and the Dark Matter of Clinical Neuroscience
Depression and violence: a Swedish population study
Depressive Symptoms in Youth With Type 1 or Type 2 Diabetes: Results of the Pediatric Diabetes Consortium Screening Assessment of Depression in Diabetes Study
Depressive symptoms as a cause and effect of job loss in men and women: evidence in the context of organisational downsizing from the Swedish Longitudinal Occupational Survey of Health
Deprotonative C-H Silylation of Functionalized Arenes and Heteroarenes Using Trifluoromethyltrialkylsilane with Fluoride
Depressive symptomatology, serotonergic activity, and neuroticism: A methodological recommendation
Depressive Symptoms and Risk of Cardiovascular Events in Blacks Findings From the Jackson Heart Study
Depression is not a consistent syndrome: An investigation of unique symptom patterns in the STAR*D study
Depression and anxiety among left-behind children in China: a systematic review
Depressive Symptoms in a Trial Behavioral Family Systems Therapy for Diabetes: A Post Hoc Analysis of Change
Depression symptoms, social support and overall health among HIV-positive individuals in Kenya
Depression and Anxiety Disorders among Hospitalized Women with Breast Cancer
Depressive symptoms are associated with incident coronary heart disease or revascularization among blacks but not among whites in the Reasons for Geographical and Racial Differences in Stroke study
Depression Among Older Adults with Diabetes Mellitus
Depression improvement and parenting in low-income mothers in home visiting
Depression and Suicide Among Physician Trainees Recommendations for a National Response
Depressurization damage of oil well cement cured for 3 days at various pressures
Depression and the surgical patient Glimpse of current and future literature
Deprotonation of Coordinated Phosphanes in a Rhenium Complex: C-C Coupling with Diimine Coligands
Depression CBT treatment gains among HIV-infected persons with a history of injection drug use varies as a function of baseline substance use
Depressive Symptoms by HIV Serostatus Are Differentially Associated With Unprotected Receptive and Insertive Anal Sex Among Substance-Using Men Who Have Sex With Men in the United States
Depression among adults with neurofibromatosis type 1: prevalence and impact on quality of life
Depression in Athletes or Increased Depressive Symptoms in Athletes? Response
Depressive and post-traumatic stress symptoms following termination of pregnancy in South African women: A longitudinal study measuring the effects of chronic burden, crisis support and resilience
Depressive Symptoms and Cognitive Decline in Older African Americans: Two Scales and Their Factors
Depressive symptoms and clustering of risk behaviours among adolescents and young adults attending vocational education: a cross-sectional study
Depression screening among older adults attending low-vision rehabilitation and eye-care services: Characteristics of those who screen positive and client acceptability of screening
Depression and HIV Serostatus Disclosure to Sexual Partners Among Newly HIV-Diagnosed Men Who Have Sex with Men
Depression as sickness behavior? A test of the host defense hypothesis in a high pathogen population
Depression and antidepressant use moderate association between widowhood and Alzheimer's disease
Depression in young adult psychiatric outpatients: delimiting early onset
Depression symptom and professional mental health service use
Depression and onset of cardiovascular disease in the US middle-aged and older adults
Depression and violence-what do we really know?
Depressed British medical students: An ignored demographic?
Deproteinated bovine bone vs. beta-tricalcium phosphate as bone graft substitutes: histomorphometric longitudinal study in the rabbit cranial vault
Depression, anxiety and stress among pregnant migraineurs in a pacific-northwest cohort
Depression and Memory Loss in African Americans with Diabetic Retinopathy
Depression Can Beget Obesity Can Beget Depression
Depressive symptoms are associated with obesity in adults with heart failure: An analysis of gender differences
Depressed mood in individuals with schizophrenia: A comparison of retrospective and real-time measures
Depression among Asian-American Adults in the Community: Systematic Review and Meta-Analysis
Depressive symptoms related to low fractional anisotropy of white matter underlying the right ventral anterior cingulate in older adults with atherosclerotic vascular disease
Depression and Suicidality Outcomes in the Treatment of Early Age Mania Study
Depression in cardiovascular disease: From awareness to action
Deproteinized bovine bone in association with guided tissue regeneration or enamel matrix derivatives procedures in aggressive periodontitis patients: a 1-year retrospective study
Depression and Its Severity Are Strongly Associated with Both Storage and Voiding Lower Urinary Tract Symptoms Independently of Prostate Volume
Depressive Symptomology and Hostile Affect among Latinos Using Housing Rental Assistance: the AHOME Study
Depression Severity in Electroconvulsive Therapy (ECT) Versus Pharmacotherapy Trials
Depressive symptoms in the second trimester relate to low oxytocin levels in African-American women: a pilot study
Deprivation of both sucrose and water reduces the mosquito heart contraction rate while increasing the expression of nitric oxide synthase
Depressive symptomatology in men receiving androgen deprivation therapy for prostate cancer: a controlled comparison
Depression and Substance Use: A Temporal-Ordered Model
Depression and wish to die in a multicenter cohort of ALS patients
Depression in Older Adults: A Palliative Medicine Perspective
Depression of the frontal veins: A new clinical sign of frontal fibrosing alopecia
Depressed height gain of children associated with intrauterine exposure to polycyclic aromatic hydrocarbons (PAH) and heavy metals: The cohort prospective study
Depression in People with Coronary Heart Disease: Prognostic Significance and Mechanisms
Depression history as a moderator of relations between cortisol and shame responses to social-evaluative threat in young adults
Depression during Pregnancy
Depression, anxiety and telomere length in young adults: evidence from the National Health and Nutrition Examination Survey
Depression, Capacity, and a Request to Discontinue Life-Sustaining Treatment
Depression screening in pediatric epilepsy: Evidence for the benefit of a behavioral medicine service in early detection
Depression of melting point for protective aluminum oxide films
Deprotonated Purine Dissociation: Experiments, Computations, and Astrobiological Implications
Depressive Symptom Frequency and Prevalence of Cardiovascular Diseases-Analysis of Patients in the National Health and Nutrition Examination Survey
Depression and Posttraumatic Stress Disorder Among Women with Vulvodynia: Evidence from the Population-Based Woman to Woman Health Study
Depression and Mood Disorder Among African American and White Women Reply
Depression and the Suicidal Patient
Depressive Symptoms and Biomarkers of Alzheimer's Disease in Cognitively Normal Older Adults
Depression and Mood Disorder Among African American and White Women
Depression and risk of epithelial ovarian cancer: Results from two large prospective cohort studies
Depression in cystic fibrosis; Implications of The International Depression/Anxiety Epidemiological Study (TIDES) in cystic fibrosis
Depression, Anxiety, and Perinatal-Specific Posttraumatic Distress in Mothers of Very Low Birth Weight Infants in the Neonatal Intensive Care Unit
Depressive disorder in pregnant Latin women: does intimate partner violence matter?
Depressed Spousal Caregivers Have Psychological Stress Unrelated to the Progression of Alzheimer Disease: A 3-Year Follow-Up Report, Kuopio ALSOVA Study
Depressive and Anxiety Symptom Trajectories From School Age Through Young Adulthood in Samples With Autism Spectrum Disorder and Developmental Delay
Deprivation index and dependency ratio are key determinants of emergency medical admission rates
Depression in patients with colorectal cancer in Saudi Arabia
Depressed resilience of bluefin tuna in the western atlantic and age truncation
Depression in Europe: does migrant integration have mental health payoffs? A cross-national comparison of 20 European countries
Depressed older adults may be less cared for than depressed younger ones
Depression: Cognition relations after stroke
Depression with pain co morbidity effect on quality of life among HIV positive patients in Uganda: a cross sectional study
Depressive symptoms, psychiatric medication use, and risk of type 2 diabetes: results from the Health and Retirement Study
Depressor effect of chymase inhibitor in mice with high salt-induced moderate hypertension
Depression and risk of hospitalisations and rehospitalisations for ambulatory care-sensitive conditions in Denmark: a population-based cohort study
Deprotometalation-iodolysis and computed CH acidity of 1,2,3-and 1,2,4-triazoles. Application to the synthesis of resveratrol analogues
Depressive Symptoms, Cardiovascular Disease Severity, and Functional Status in Older Adults with Coronary Heart Disease: The Heart and Soul Study
Depressive Symptoms Are Associated with Analgesic Use in People with Alzheimer's Disease: Kuopio ALSOVA Study
Depression is associated with poor prognosis in patients with chronic obstructive pulmonary disease - a systematic review
Depression and quality of life in children with sickle cell disease: the effect of social support
Deproto-metallation of N-arylated pyrroles and indoles using a mixed lithium-zinc base and regioselectivity-computed CH acidity relationship
Depression Attributes Among White Non-Hispanic and Mexican-Origin Older Men
Depression and anxiety in chronic rhinosinusitis
Depressive symptoms in late life in urban and semi-urban areas of South-West Greece: An undetected disorder?
Depressive symptoms, anxiety and well-being among metabolic health obese subtypes
Depressed suicide attempters have smaller hippocampus than depressed patients without suicide attempts
Depression in multiple sclerosis: The utility of common self-report instruments and development of a disease-specific measure
Depression among Brazilian adolescents: A cross-sectional population-based study
Depression and health status in elderly hospitalized patients with chronic illness
Depression-like state in maternal rats induced by repeated separation of pups is accompanied by a decrease of cell proliferation and an increase of apoptosis in the hippocampus
Depression and Quality of Life in Temporomandibular Joint Disorders
Depression is associated with increased sensitivity to signals of disgust: A functional magnetic resonance imaging study
Depressive, Anxiety Levels and Affecting Factors of Third Trimester Pregnant Women
Depression relapse prophylaxis with Mindfulness-Based Cognitive Therapy: Replication and extension in the Swiss health care system
Depression and anxiety levels in woman under follow-up for breast cancer: relationship to coping with cancer and quality of life
Depression among mothers of children and adults with an intellectual disability in Turkey
Depression and anxiety in children and adolescents with familial Mediterranean fever
Depressive Symptoms Affect the Relationship of N-Terminal Pro B-Type Natriuretic Peptide to Cardiac Event-Free Survival in Patients With Heart Failure
Depressive and anxiety disorders and risk of subclinical atherosclerosis Findings from the Netherlands Study of Depression and Anxiety (NESDA)
Depression and obesity: A meta-analysis of community-based studies
Depression and parkinsonism in older Europeans: results from the EURODEP concerted action
Depression in Dutch homes for the elderly: under-diagnosis in demented residents?
Depressive Symptoms and Cognitive Decline in Community-Dwelling Older Adults
Depression, Anxiety and Glucose Metabolism in the General Dutch Population: The New Hoorn Study
Depressive Symptoms and Mortality in Patients After Kidney Transplantation: A Prospective Prevalent Cohort Study
Depressing synapse as a detector of frequency change
Depression and exposure to violence among Venda and Northern Sotho adolescents in South Africa
Depression predicts unfavourable functional outcome and higher mortality in stroke patients: The Bergen Stroke Study
Depression and the role of genes involved in dopamine metabolism and signalling
Depressive Disorder and Incident Diabetes Mellitus: The Effect of Characteristics of Depression
Depression and the Usefulness of a Disease Management Program in Heart Failure Insights From the COACH (Coordinating study evaluating Outcomes of Advising and Counseling in Heart failure) Study
Depression and Suicide Risk in Hemodialysis Patients With Chronic Renal Failure
Depression among female psychiatric nurses in southern Taiwan: main and moderating effects of job stress, coping behaviour and social support
Depression of the Coercive Force via Oxygen Exposure of Ultrathin Fe/Pt(111) Films
Depression and anxiety in the reindeer-herding Sami population of Sweden
Depressive symptoms and heart rate variability in younger women after an acute coronary event
Depression and the Subsequent Risk of Parkinson's Disease in the NIH-AARP Diet and Health Study
Depression-withdrawal reaction in refugee children. An epidemic of a cultural-bound syndrome or an endemic of re-traumatized refugees?
Depression symptoms and cognitive function among individuals with advanced HIV infection initiating HAART in Uganda
Depressed expression of angiogenic growth factors in the subacute phase of myocardial ischemia: a mechanism behind the remodeling plateau?
Depression in relation to purpose in life among a very old population: A five-year follow-up study
Depressive symptoms in PD correlate with higher 5-HTT binding in raphe and limbic structures
Depressive Symptoms, Social Support, and Risk of Adult Asthma in a Population-Based Cohort Study
Depressive and anxiety symptoms in a community sample of young adults and correlation with help-seeking behavior
Depressive Symptoms and Risk of Type 2 Diabetes in a National Sample of Middle-Aged and Older Adults The English Longitudinal Study of Aging
Depression in adolescents Collaboration to overcome barriers in primary care
Depression and the hyperactive right-hemisphere
Deprivation and the Development of Obesity A Multilevel, Longitudinal Study in England
Depressive symptoms in early pregnancy disrupt attentional processing of infant emotion
Depression in epilepsy is associated with lack of seizure control
Depressive Symptoms, Chronic Diseases, and Physical Disabilities as Predictors of Cognitive Functioning Trajectories in Older Americans
Depression Care in the United States Too Little for Too Few
Depressive symptoms among young breast cancer survivors: the importance of reproductive concerns
Depressive Symptoms in Middle Age and the Development of Later-Life Functional Limitations: The Long-Term Effect of Depressive Symptoms
Depression and platelet activation in outpatients with stable coronary heart disease: Findings from the Heart and Soul Study
Depression and Increased Short-Term Hospitalization Risk Among Geriatric Patients Receiving Home Health Care Services
Depressed Mood, Usual Activity Level, and Continued Employment after Starting Dialysis
Depression and HIV in Botswana: A Population-Based Study on Gender-Specific Socioeconomic and Behavioral Correlates
Depressive Symptoms, Race, and Circulating C-Reactive Protein: The Coronary Artery Risk Development in Young Adults (CARDIA) Study
Depression vulnerability predicts cigarette smoking among college students: Gender and negative reinforcement expectancies as contributing factors
Depression and Cognitive Impairment in Newly Diagnosed Systemic Lupus Erythematosus
Depression and sexual adjustment following breast cancer in low-income Hispanic and non-Hispanic White women
Depression and vulnerability to incident physical illness across 10 years
Depression and anxiety: Associations with biological and perceived stress reactivity to a psychological stress protocol in a middle-aged population
Depressive Symptoms Are Associated With Subclinical Cerebrovascular Disease Among Healthy Older Women, Not Men
Depressive Symptoms and Metabolic Syndrome in Preventive Healthcare: The Cooper Center Longitudinal Study
Depressive disorders during weaning from prolonged mechanical ventilation
Depression and creativity - The case of the german poet, scientist and statesman J. W. v. Goethe
Depressive Symptoms in a Case of "Posterior Cortical Atrophy"
Depression Severity and Effect of Antidepressant Medications
Depressed mood and poor quality of life in male patients with chronic renal failure undergoing hemodialysis
Depression-like behavior and mechanical allodynia are reduced by bis selenide treatment in mice with chronic constriction injury: a comparison with fluoxetine, amitriptyline, and bupropion
Depression and Anxiety as Possible Mediators of the Association Between Smoking and Attention Deficit Hyperactivity Disorder
Depression and oxidative damage to lipids
Depression predicts perioperative outcomes following coronary artery bypass graft surgery
Depressive-like behaviors alterations induced by intranigral MPTP, 6-OHDA, LPS and rotenone models of Parkinson's disease are predominantly associated with serotonin and dopamine
Depressive Mood is Associated with Ataxic and Non-Ataxic Neurological Dysfunction in SCA3 Patients
Depression as a Predictor of Disease Progression and Mortality in Cancer Patients A Meta-Analysis Reply
Depression during pregnancy and postpartum: Contribution of stress and ovarian hormones
Depression, anxiety disorders and Type D personality as risk factors for delirium after cardiac surgery
Depression and obesity in adults with asthma: multiple comorbidities and management issues
Depression literacy among older Chinese immigrants in Canada: a comparison with a population-based survey
Depression as a predictor of work resumption following myocardial infarction (MI): a review of recent research evidence
Depressive and anxiety disorders in the postpartum period: how prevalent are they and can we improve their detection?
Depression: an emotional obstacle to seeking medical advice for infertility
Depression and cardiac risk: present status and future directions
Depressive disorders among adolescents managed in a child and adolescent mental health service
Depressive and anxiety symptomatology in ecstasy users: the relative contribution of genes, trauma, life stress and drug use
Depressive symptoms in older male Italian immigrants in Australia: the Concord Health and Ageing in Men Project
Deprotonation and Addition Reactions of Frustrated Lewis Pairs with Alkynes
Depression and Insulin Resistance Cross-sectional associations in young adults
Depression as a Potential Explanation for Gender Differences in Health-Related Quality of Life among Patients on Maintenance Hemodialysis
Depressive symptoms in the first year from diagnosis of Type 2 diabetes: results from the DESMOND trial
Depressive-like-behavior and proinflamatory interleukine levels in the brain of rats submitted to pneumococcal meningitis
Depressive adolescents
Depressive symptoms amongst asthmatic children's caregivers
Depression, anxiety, health-related quality of life and pain in patients with chronic. bromyalgia and neuropathic pain
Depression and Major Depressive Disorder in Patients with Parkinson's Disease
Depressed mood should not be regarded as a contraindication to epilepsy surgery Reply
Depression of the Zero Growth Temperature by Counits Observed for s-Polypropylene in Light Attenuation Experiments
Depression in HIV infection: Prevalence, risk factors and management
Depression in Primary care: Interpersonal Counseling vs Selective serotonin reuptake inhibitors. The DEPICS Study. A multicenter randomized controlled trial. Rationale and design
Depression in Pancreatic Cancer: Sense of Impending Doom
Depressive Mood Changes and Psychiatric Symptoms During 12-month Low-dose Interferon-alpha Treatment in Patients With Malignant Melanoma Results From the Multicenter DeCOG Trial
Depression of cellular immunity correlated to stress: questions on welfare and protection in italian trotters after running performance
Depressed expression of Klotho and FGF receptor 1 in hyperplastic parathyroid glands from uremic patients
Depressive disorders. Set value in the children and youth psychiatric practice
Depressed expression of MuRF1 and MAFbx in areas remote of recent myocardial infarction: a mechanism contributing to myocardial remodeling?
Depression and Anxiety in Patients Undergoing Herniated Disc Surgery: Relevant but Underresearched - A Systematic Review
Depressive symptoms and personality characteristics: phenomenology of affective disorders
Depression in Parkinson's Disease - Part 2: Therapy and Management
Depression and serotonin transporter (5-HTTLPR) polymorphism in breast cancer patients
Depression in Systemic Lupus Erythematosus: The Key Role of Illness Intrusiveness and Concealment of Symptoms
Depressive symptoms of informal caregivers are associated with those of community-dwelling dependent care recipients
Depression in type 1 diabetes mellitus and associated factors
Depression and diabetes: from epidemiology to neurobiology
Deprotonation Mechanism and log P Values of New Antihypertensive Thiomorpholinylmethylphenols: A Combined Experimental and Theoretical Study
Depressant effects of Clinopodium mexicanum Benth. Govaerts (Lamiaceae) on the central nervous system
Depression among Arabs and Jews in Israel: a population-based study
Depressive symptoms in elderly adults with hypotension: Different associations with positive and negative affect
Depressive Symptoms and Erectile Dysfunction in Men With Coronary Artery Disease
Depressive mood and quality of life in functional gastrointestinal disorders: differences between functional dyspepsia, irritable bowel syndrome and overlap syndrome
Depression and Heart Disease: Uncracked Mystery of the Chicken and the Egg
Depression and anxiety in chronic heart failure and chronic obstructive pulmonary disease: prevalence, relevance, clinical implications and management principles
Depression research: where are we now?
Depressant and Anticonvulsant Effect of Methanol Extract of Swietenia Mahagoni in Mice
Deprivation of Esophageal Boluses and Dry Forage Intake in Large-type Goats
Depressive Symptoms in Community-Dwelling Elderly Korean Immigrants and Elderly Koreans
Depression and health-related quality of life in maintenance hemodialysis patients
Depressive symptoms in stroke patients: A 13 month follow-up study of patients referred to a rehabilitation unit
Depression and Depressive Symptoms in First Episode Psychosis
Depression, fracture risk, and bone loss: a meta-analysis of cohort studies
Depressive Symptoms and All-Cause Mortality in Unstable Angina Pectoris (from the Coronary Psychosocial Evaluation Studies [COPES])
Depression Among Adolescent Mothers Enrolled in a High School Parenting Program
Depression and diabetes: Establishing the pharmacist's role in detecting comorbidity in pregnant women
Depression in Myotonic Dystrophy type 1: clinical and neuronal correlates
Depression, heart rate related variables and cardiovascular disease
Depression in Asian American and Caucasian undergraduate students
Depression Among Older Adults in the United States and England
Depressive symptoms and health problems among Chinese immigrant elders in the US and Chinese elders in China
Deprivation, ethnicity and prematurity in infant respiratory failure in PICU in the UK
Depression predicts first but not recurrent diabetic foot ulcers
Depression in the first year of stay for elderly long-term nursing home residents in the U.S.A.
Depression Is Associated With Increased Mortality 10 Years After Coronary Artery Bypass Surgery
Depression in fathers in the postnatal period: Assessment of the Edinburgh Postnatal Depression Scale as a screening measure
Depressive symptoms and APOE polymorphisms in an elderly population-based sample
Depressive symptoms and risk of dementia The Framingham Heart Study
Depressed mood and emotional responding
Depressed mood and emotional responding (vol 84, pg 368, 2010)
Depression and immunity: A role for T cells?
Depression treatment patterns among women veterans with cardiovascular conditions or diabetes
Depressive Disorders Among Cohorts of Women Veterans with Diabetes, Heart Disease, and Hypertension
Depression in End-Stage Renal Disease: Current Advances and Research
Depressive-like effects of the kappa opioid receptor agonist salvinorin A are associated with decreased phasic dopamine release in the nucleus accumbens
Depression in advanced cancer
Depressive symptoms predict incident stroke independently of memory impairments
Depression: There Is No Upside
Depression among Centenarians and the Oldest Old: Contributions of Cognition and Personalityu
Depressive symptoms are associated with hospitalization, but not with mortality in the elderly: A population-based study
Depression Training for Nurses Evaluation of an Innovative Program
Depression Screening in Nursing Homes: Involvement of Social Services Departments
Depression and hemoglobin A1c in type 1 and type 2 diabetes: The role of self-efficacy
Depression in epilepsy: Why aren't we treating?
Depression and Nigerian-born immigrant women in the United States: a phenomenological study
Depressive symptoms predict exaggerated inflammatory responses to an in vivo immune challenge among pregnant women
Depression and Coronary Heart Disease
Depressive symptoms in lung cancer patients and their family caregivers and the influence of family environment
Depression and cancer mortality: a meta-analysis
Depressive symptoms and migraine comorbidity among pregnant Peruvian women
Depression and Advanced Complications of Diabetes - A prospective cohort study
Depression Predicts Cognitive Disorders in Older Primary Care Patients
Depressive Disorders During Pregnancy Prevalence and Risk Factors in a Large Urban Sample
Depression and Incident Diabetic Foot Ulcers: A Prospective Cohort Study
Depression Despite Anti-Vascular Endothelial Growth Factor Treatment of Age-Related Macular Degeneration
Depression in Relation to Long-term Control of Glycemia, Blood Pressure, and Lipids in Patients with Diabetes
Depressive symptoms in adolescence: the association with multiple health risk behaviors
Depression and cancer risk: 24 years of follow-up of the Baltimore Epidemiologic Catchment Area sample
Depressive behavior and vascular dysfunction: a link between clinical depression and vascular disease?
Depression and increased risk of death in adults with stroke
Depression, psychological stress, vascular dysfunction, and cardiovascular disease: thinking outside the barrel
Depression of Ca2+/Calmodulin-Dependent Protein Kinase II in Dorsal Root Ganglion Neurons after Spinal Nerve Ligation
Depression Severity and Effect of Antidepressant Medications Reply
Depression Screening Patterns for Women in Rural Health Clinics
Depressive symptoms in women seeking surgery for pelvic organ prolapse
Depression and Geographic Status as Predictors for Coronary Artery Bypass Surgery Outcomes
Depression masked as paroxysmal hypertension episodes
Depressive Symptoms and Birth Outcomes among Pregnant Teenagers
Depression among white and nonwhite caregivers of the chronically critically ill
Depressive morbidity and gender in community-dwelling Brazilian elderly: systematic review and meta-analysis
Depression in Adoptive Parents: A Model of Understanding Through Grounded Theory
Depression during pregnancy: detection, comorbidity and treatment
Depression, Stigma, and Suicidal Ideation in Medical Students
Depressive Symptoms in Pulmonary Arterial Hypertension: Prevalence and Association With Functional Status
Depression and cardiovascular disease: have a happy day-just smile!
Depressive symptoms and one year mortality among elderly patients discharged from a rehabilitation ward after orthopaedic surgery of the lower limbs
Depression and Fruit Treatment
Depression of pyrite in the flotation of high pyrite low-grade lead-zinc ore using Acidithiobacillus ferroxidans
Depression during pregnancy and low birth weight: a systematic literature review
Depression during pregnancy in the Brazilian public health care system
Depression during pregnancy in women with a medical disorder: risk factors and perinatal outcomes
Depressive and adjustment disorders - some questions about the differential diagnosis: case studies
Deprotonation versus Nucleophilic Substitution in Some Platinum(II) Coordinated Olefins Containing an Electron Withdrawing Group
Depression affecting moral judgment
Depression and Quality of Life in Mothers of children with Pervasive Developmental Disorders (vol 18, pg 33, 2010)
Depression in women living with HIV: clinical and psychosocial correlates
Depredation by Jaguars on Caimans and Importance of Reptiles in the Diet of Jaguar
Depression, alcohol use disorders and nicotine dependence among patients at a general hospital
Depressive symptoms in rheumatoid arthritis patients
Depressive-Like Parameters in Sepsis Survivor Rats
Depression and somatisation influence the outcome of total hip replacement
Depressed thermal conductivity of mechanically alloyed nanocrystalline 10 mol% yttria-stabilized zirconia
Deprotonative metallation of ferrocenes using mixed lithium-zinc and lithium-cadmium combinations
Deprotonative Metalation of Substituted Benzenes and Heteroaromatics Using Amino/Alkyl Mixed Lithium-Zinc Combinations
Deprotonative metalation of substituted aromatics using mixed lithium-cobalt combinations
Depression severity is correlated to the integrity of white matter fiber tracts in late-onset major depression
Depression in patients with HIV is under-diagnosed: a cross-sectional study in Denmark
Depression is associated with the metabolic syndrome among patients with type 1 diabetes
Depressive symptoms and 10-year risk for cardiovascular morbidity and mortality
Depression-Executive Dysfunction Syndrome Relates to Poor Poststroke Survival
Depressive symptoms predict postoperative disability among patients with lumbar spinal stenosis: A two-year prospective study comparing two age groups
Depressive symptoms during rehabilitation period predict poor outcome of lumbar spinal stenosis surgery: A two-year perspective
Depression not related to lower religious involvement in bipolar disorders?
Depression, heart rate variability, and exercise training in dialysis patients
Depression, traumatic stress and interleukin-6
Depression and anxiety predict sex-specific cortisol responses to interpersonal stress
Depressive and anxiety disorders and short leukocyte telomere length: mediating effects of metabolic stress and lifestyle factors
Deprotonation Induced Ligand Oxidation in a Ni-II Complex of a Redox Noninnocent N-1-(2-Aminophenyl)benzene-1,2-diamine and Its Use in Catalytic Alcohol Oxidation
Depressive and Anxiety Disorders Showing Robust, but Non-Dynamic, 6-Year Longitudinal Association With Short Leukocyte Telomere Length
Deprescribing Potentially Inappropriate Preventive Cardiovascular Medication: Barriers and Enablers for Patients and General Practitioners
Depression as a risk factor for Alzheimer%26apos;s disease: Genes, steroids, cytokines and neurogenesis - What do we need to know?
Depressive Symptoms in Ophthalmology Patients
Depression Amplifies the Influence of Central Obesity on 10-Year Incidence of Diabetes: Findings from MIDUS
Depression and elevation tsunami waves in the framework of the Korteweg-de Vries equation
Depression in Tourette syndrome: A controlled and comparison study
Depression in patients with chronic pain attending a specialised pain treatment centre: prevalence and impact on health care costs
Depressive symptoms and muscular fitness contribute independently to the ability to perform daily life activities in people with bipolar disorder
Depression and Anxiety Change from Adolescence to Adulthood in Individuals with and without Language Impairment
Depression and Reduced Bone Mineral Density at the Hip and Lumbar Spine: A Comparative Meta-Analysis of Studies in Adults 60 Years and Older
Depression: an exploratory parallel-group randomised controlled trial of Antenatal guided self help for WomeN (DAWN): study protocol for a randomised controlled trial
Depression in university students: associations with impulse control disorders
Depression in Visual Impairment Trial (DEPVIT): A Randomized Clinical Trial of Depression Treatments in People With Low Vision
Depression as a Risk Factor for the Initial Presentation of Twelve Cardiac, Cerebrovascular, and Peripheral Arterial Diseases: Data Linkage Study of 1.9 Million Women and Men
Depressive symptoms and their correlates in parents of children with autism spectrum disorders
Depression Screening and Education: Options to Reduce Barriers to Treatment (DESEO): protocol for an educational intervention study
Depression and Insomnia in Patients With Psoriasis and Psoriatic Arthritis Taking Tumor Necrosis Factor Antagonists
Depression in hypertensive patients: the role of comorbidities Reply
Depression in amyotrophic lateral sclerosis
Depression or anxiety in adult twins is associated with asthma diagnosis but not with offspring asthma
Depression, anxiety, and antidepressant treatment in women: association with in vitro fertilization outcome
Depression among Parents Two to Six Years Following the Loss of a Child by Suicide: A Novel Prediction Model
Depressive symptoms associated with concerns about falling in Parkinson's disease
Depression as an independent prognostic factor for all-cause mortality after a hospital admission for worsening heart failure
Depressive symptom trajectories in women affected by breast cancer and their male partners: a nationwide prospective cohort study
Depression and markers of inflammation as predictors of all-cause mortality in heart failure
Depression and the risk of severe infections: prospective analyses on a nationwide representative sample
Deprotometalation of substituted pyridines and regioselectivity-computed CH acidity relationships
Depressive symptoms and long-term income: The Young Finns Study
Depressive- and anxiety-like behaviors and stress-related neuronal activation in vasopressin-deficient female Brattleboro rats
Depression in older adults (OA) with extreme poverty belonging to a Social Program in City Juarez, Chihuahua, Mexico
Depression and anxiety in children with benign childhood epilepsy with centrotemporal spikes (BCECTS)
Depression in hypertensive patients: the role of comorbidities
Depression, anxiety, and quality of life in a large cohort of patients with rheumatic diseases: common, yet undertreated (vol 35, pg 733, 2016)
Deprotonation and protonation of humic acids as a strategy for the technological development of pH-responsive nanoparticles with fungicidal potential
Depression-Like Adult Behaviors may be a Long-Term Result of Experimental Pneumococcal Meningitis in Wistar Rats Infants
Depression, Cytokine, and Cytokine by Treatment Interactions Modulate Gene Expression in Antipsychotic Naive First Episode Psychosis
Deproteinized Bovine Bone Mineral or Autologous Bone at Dehiscence Type Defects at Implants Installed Immediately into Extraction Sockets: An Experimental Study in Dogs
Depression and diagnosis of neurocognitive impairment in HIV-positive patients
Depressed perivascular sensory innervation of mouse mesenteric arteries with advanced age
Depression treatment decreases healthcare expenditures among working age patients with comorbid conditions and type 2 diabetes mellitus along with newly-diagnosed depression
Depression and Frailty in Patients With End-Stage Liver Disease Referred for Transplant Evaluation
Depression and Anxiety in Traumatic Brachial Plexus Injury Patients Are Associated With Reduced Motor Outcome After Surgical Intervention for Restoration of Elbow Flexion
Depression and Physical Inactivity as Confounding the Effect of Obesity on Atrial Fibrillation
Depression, Resource Utilization, and Outcomes Following Liver Transplant
Depression and Anxiety Screens as Predictors of 8-Year Incidence of Myocardial Infarction and Stroke in Primary Care Patients
Depressive Symptoms and Alcohol-Related Problems Among College Students: A Moderated-Mediated Model of Mindfulness and Drinking to Cope
Depression Training in an Assisted Living Facility A Pilot Study
Depression and anxiety in patients with hematological malignancies, prevalence, and associated factors
Depression symptom trends and health domains among lung cancer patients in the CanCORS study
Depression motivates quit attempts but predicts relapse: differential findings for gender from the International Tobacco Control Study
Depressive symptoms in midlife: the role of reproductive stage
Depression in pregnancy is associated with decreased glutathione peroxidase activity in fetal cord blood
Depression Phenotype, Inflammation, and the Brain: Implications for Future Research
Depression Following Hysterectomy and the Influencing Factors
Depression among older adults in Greece: an undetected disorder?
Depression among migrant workers in Al-Qassim, Saudi Arabia
Depression and anxiety disorders in a sample of facial trauma: A study from Iran
Depression and Anxiety as Risk Factors for Morbidity and Mortality After Organ Transplantation: A Systematic Review and Meta-Analysis
Depressive Symptoms in Patients Scheduled for Hyperthermic Intraperitoneal Chemotherapy With Cytoreductive Surgery: Prospective Associations With Morbidity and Mortality
Deprotonation of g-C3N4 with Na ions for efficient nonsacrificial water splitting under visible light
Depressive symptoms are associated with worsened severity of the metabolic syndrome in African American women independent of lifestyle factors: A consideration of mechanistic links from the Jackson heart study
Depression in primary care: Strategies for a psychiatry-scarce environment
Depression Moderates the Relationship Between Pain and the Nonmedical Use of Opioid Medication Among Adult Outpatients
Depressive and Anxiety Symptoms Predict Sustained Quality of Life Deficits in HIV-Positive Ugandan Adults Despite Antiretroviral Therapy A Prospective Cohort Study
Depression and serum low-density lipoprotein: A systematic review and meta-analysis
Depression Management by NICU Nurses: Mothers' Views
Depression and Multiple Rehospitalizations in Patients With Heart Failure
Depression and doctor-patient communication in the emergency department
Depression in heart failure: Can PHQ-9 help?
Depression symptoms across cultures: an IRT analysis of standard depression symptoms using data from eight countries
Depression and socio-economical burden are more common in primary caregivers of patients who are not aware of their cancer: TURQUOISE Study by the Palliative Care Working Committee of the Turkish Oncology Group (TOG)
Depression remission, receipt of problem-solving therapy, and self-care behavior frequency among low-income, predominantly Hispanic diabetes patients
Depression Symptoms in Chronic Left Hemisphere Stroke Are Related to Dorsolateral Prefrontal Cortex Damage
Depression, anxiety and risk of hypertension in mid-aged women: a prospective longitudinal study
Depression Symptom Severity and Cardiorespiratory Fitness in Healthy and Depressed Adults: A Systematic Review and Meta-Analysis
Depression correlates with quality of life in people with epilepsy independent of the measures used
Depression 12-months after coronary artery bypass graft is predicted by cortisol slope over the day
Depression following traumatic brain injury: A functional connectivity perspective
Depression-like behavior in rat: Involvement of galanin receptor subtype 1 in the ventral periaqueductal gray
Depression and anxiety among patients with somatoform disorders, panic disorder, and other depressive/anxiety disorders in Taiwan
Depressive symptoms are associated with daytime sleepiness and subjective sleep quality in dementia with Lewy bodies
Depression and anxiety symptoms following cancer diagnosis: a cross-sectional study
Depression following mania
Deproximating Tredgold's Approximation
Depressive Symptoms Correlate with Disability and Disease Course in Multiple Sclerosis Patients: An Italian Multi-Center Study Using the Beck Depression Inventory
Deprotonation routes of anthocyanidins in aqueous solution, pK(a) values, and speciation under physiological conditions
Depressive Symptoms Following Stroke and Transient Ischemic Attack: Is It Time for a More Intensive Treatment Approach? Results From the TABASCO Cohort Study
Depressive symptoms moderate the relationship between sleep quality and cognitive functions among the elderly
Depression, anxiety, and apathy in Parkinson%26apos;s disease: insights from neuroimaging studies
Depredatory impact of free-roaming domestic dogs on Mediterranean deer in southern Spain: implications for human-wolf conflict
Depressed visual field and mood are associated with sleep disorder in glaucoma patients
Depression-like phenotype by deletion of alpha 7 nicotinic acetylcholine receptor: Role of BDNF-TrkB in nucleus accumbens
Depression but not anxiety predicts recurrent cerebrovascular events
Depression and distress in caregivers of children with brain tumors undergoing treatment: psychosocial factors as moderators
Depressive Symptoms Are Associated With Higher Rates of Readmission or Mortality After Medical Hospitalization: A Systematic Review and Meta-analysis
Depression risk in female patients with osteoporosis in primary care practices in Germany
Depression - A major but neglected consequence contributing to the health toll from prescription opioids?
Depressive symptoms and functional decline following coronary interventions in older patients with coronary artery disease: a prospective cohort study
Depression and hopelessness in patients with acute leukemia: the psychological impact of an acute and life-threatening disorder
Depressive Symptoms Negatively Impact Montreal Cognitive Assessment Performance: A Memory Clinic Experience
Depression-Like Behavior in a Dehydroepiandrosterone-Induced Mouse Model of Polycystic Ovary Syndrome
Depression in patients with chronic hepatitis B and cirrhosis is closely associated with the severity of liver cirrhosis
Depression in Lesbian, Gay, and Bisexual Members of the Canadian Armed Forces
Depression and genetic causal attribution of epilepsy in multiplex epilepsy families
Depression and Anxiety During Pregnancy: Evaluating the Literature in Support of Clinical Risk-Benefit Decision-Making
Depression and anxiety after total joint replacement among older adults: a meta-analysis
Depression After Stroke-Frequency, Risk Factors, and Mortality Outcomes
Deprescribing in older people
Deprotonation of Large Calixarenes - Cation Binding and Conformations
Depression or personality disorder: Autopsy of a mass-homicide
Depression, Anxiety, and Stress in People With and Without Plantar Heel Pain
Depression mechanism of the zinc sulfate and sodium carbonate combined inhibitor on talc
Depression in left-behind elderly in rural China: Prevalence and associated factors
Depression-like behaviors and heme oxygenase-1 are regulated by Lycopene in lipopolysaccharide-induced neuroinflammation
Depressive Effects of Chronic Intermittent Hypobaric Hypoxia on Renal Vascular Hypertension through Enhancing Baroreflex
Depression is associated with increased incidence of osteoporotic thoracolumbar fracture in postmenopausal women: a prospective study
Depression accelerates the development of gastric cancer through reactive oxygen species-activated ABL1 (Review)
Deprotonation and acidity characterization of biomass sugars: a first-principles study
Depressive and Anxiety Disorders in Systemic Lupus Erythematosus Patients without Major Neuropsychiatric Manifestations
Depression among Low-Income Female Muslim Uyghur and Kazakh Informal Caregivers of Disabled Elders in Far Western China: Influence on the Caregivers' Burden and the Disabled Elders' Quality of Life
Depressing effect of fine hydrophilic particles on magnesite reverse flotation
Depression in the Family of Patients With Dementia in Korea
Depressor Anguli Oris in IVF Twins
Depression and anxiety in age-related macular degeneration
Deproteinization with ZnSO4-Ba(OH)(2) reduces the photodegradation of montelukast during plasma sample preparation for HPLC analysis
Depression and the risk of coronary heart disease: a meta-analysis of prospective cohort studies
Depressant behavior and mechanism of guar and xanthan gums on calcite flotation
Depressive symptoms, social isolation, and progression of coronary artery atherosclerosis: The Stockholm female coronary angiography study
Depressive Symptoms, Social Support, and Walking Among Hispanic Older Adults
Depression as a Risk Factor for Mortality in Individuals with Diabetes: A Meta-Analysis of Prospective Studies
Depression and Acitretin: A True Association or a Class Labeling?
Depressive symptoms and quality of life in type 2 diabetic patients with diabetic distal polyneuropathy
Depression symptoms and health-related quality of life among patients with metastatic breast cancer in programme of palliative cancer care
Depression, Illness Severity, and Healthcare Utilization in Cystic Fibrosis
Deprivation and Dysphagia in Premature Infants
Depression and diabetes mellitus type 2
Depressive symptoms during pregnancy: Exploring the role of sitting
Depressive symptoms are related to progression of coronary calcium in midlife women: The Study of Women's Health Across the Nation (SWAN) Heart Study
Depression in the Pathway of HIV Antiretroviral Effects on Sexual Risk Behavior Among Patients in Uganda
Depression: A repair response to stress-induced neuronal microdamage that can grade into a chronic neuroinflammatory condition?
Depression and Quality of Life in Youth-Onset Type 2 Diabetes Mellitus
Depression and Antidepressant Use After Stroke and Transient Ischemic Attack
Depression and Prescription Opioid Misuse Among Chronic Opioid Therapy Recipients With No History of Substance Abuse
Depression prevalence and associated factors among Alaska Native people: The Alaska education and research toward health (EARTH) study
Depressive Symptoms and Health-Related Quality of Life in Breast Cancer Survivors
Depressive symptoms among adults 18-69 years in Italy: results from the Italian behavioural risk factor surveillance system, 2007
Depression, anxiety and their comorbidity in the Swedish general population: point prevalence and the effect on health-related quality of life
Depression and Chronic Illness: Asian/Pacific Islander Adults in Hawaii
Depression and Ambivalence Toward Chronic Opioid Therapy for Chronic Noncancer Pain
Depressive Symptoms, Health Behaviors, and Subsequent Inflammation in Patients With Coronary Heart Disease: Prospective Findings From the Heart and Soul Study
Depression and Leukocyte Telomere Length in Patients With Coronary Heart Disease: Data From The Heart and Soul Study
Depressive symptoms, physical inactivity and risk of cardiovascular mortality in older adults: the Cardiovascular Health Study
Depressive Symptoms, Health Behaviors, and Risk of Cardiovascular Events in Patients With Coronary Heart Disease
Depression and psychosocial correlates of liver transplant candidates: A systematic review
Deprivation and food access and balance in Saskatoon, Saskatchewan
Depression Care Following Psychiatric Hospitalization in the Veterans Health Administration
Deprivation impedes success of insulin intensification in children and adolescents with Type 1 diabetes; longitudinal linear mixed modelling of a retrospective observational cohort
Depression in pregnancy, infant birth weight and DNA methylation of imprint regulatory elements
Deprotonation-Induced Aromaticity Enhancement and New Conjugated Networks in meso-Hexakis(pentafluorophenyl)[26]hexaphyrin
Depression and low bone mineral density: a meta-analysis of epidemiologic studies
Depression and Percent Body Fat in American Women, Ages 39-49
Depression and Osteoporosis: A Research Synthesis with Meta-Analysis
Depression as a risk factor for osteoporosis
Depressive and Anxiety Symptoms as Risk Factors for Temporomandibular Joint Pain: A Prospective Cohort Study in the General Population
Depressive disorders and the menopause transition
Depression and anxiety disorders among gastroenterologic outpatients
Depression Is Associated With Reduced Physical Activity in Persons With Heart Failure
Depression and Anxiety Predict Decline in Physical Health Functioning in Patients with Heart Failure
Depression in chronic heart failure
Depressive symptoms predict mortality in elderly subjects with chronic heart failure
Depression and heart disease: What do we know, and where are we headed?
Depressive Disorders in Childhood and Adolescence
Depression-resistant endophenotype in mice overexpressing cannabinoid CB2 receptors
Depression and Alzheimer's Disease: Is Stress the Initiating Factor in a Common Neuropathological Cascade?
Depressive Symptom Deterioration among Predominantly Hispanic Diabetes Patients in Safety Net Care
Depression in diabetes mellitus: a comprehensive review
Depression in Cultural Context: "Chinese Somatization," Revisited
Depressive symptoms are associated with weight gain among women
Depressive state and subsequent weight gain in workers: A 4-year follow-up study
Depression
Depressive Symptoms and Clinical Status During the Treatment of Adolescent Suicide Attempters (TASA) Study
Depression in dementia: epidemiology, mechanisms, and treatment
Depression and HIV/AIDS Treatment Nonadherence: A Review and Meta-analysis
Depression biased non-Hebbian spike-timing-dependent synaptic plasticity in the rat subiculum
Depression and anxiety in childhood epilepsy: A review
Depression in epilepsy: a critical review from a clinical perspective
Depressive syndromes in neurological disorders
Depression and neurological diseases
Depressive Symptoms and Sleepiness Among Latino Farmworkers in Eastern North Carolina
Depression and Disability: Comparisons with Common Physical Conditions in the Ibadan Study of Aging
Depression and suicidality in the adolescents in Osijek, Croatia
Depressive dimensions and item response analysis of the Hamilton Depression Rating Scale-17 in eating disorders
Depressive symptoms in persons with acute coronary syndrome: Specific symptom scales and prognosis
Depression's multiple comorbidities explained by (neuro)inflammatory and oxidative & nitrosative stress pathways
Depression in Patients with Chronic Obstructive Pulmonary Disease
Depression, but not sleep disorder, is an independent factor affecting exacerbations and hospitalization in patients with chronic obstructive pulmonary disease
Depression and sleep disorders predict acute exacerbations and hospitalization in patients with chronic obstructive pulmonary disease Reply
Depressed mood in pregnancy: Prevalence and correlates in two Cape Town peri-urban settlements
Deproteinization technique stabilizes the adhesion of the fiberglass post relined with resin composite to root canal
Deproteinization Effects of NaOCl on Acid-etched Dentin in Clinically-relevant vs Prolonged Periods of Application. A Confocal and Environmental Scanning Electron Microscopy Study
Depression of melting point of eutectic metal alloy by the interaction with polyacrylates in metal-polymer composites
Depression, Anxiety, and COPD: The Unexamined Role of Nicotine Dependence
Depression Training in Nursing Homes: Lessons Learned from a Pilot Study
Depressive Symptoms during Pregnancy and the Concentration of Fatty Acids in Breast Milk
Depression and altered serum lipids in cynomolgus monkeys consuming a Western diet
Depressed brainstem auditory electrophysiology in preterm infants after perinatal hypoxia-ischaemia
Depression in advanced physical illness: diagnostic and treatment issues
Depressive Symptoms and Related Risk Factors in Old and Oldest-old Elderly People with Arthritis
Depression, quality of life (QoL) and will to live of community-dwelling postmenopausal women in three Asian countries: Korea, China and Japan
Depression and Cognitive Function in Maintenance Hemodialysis Patients
Depression in the Elderly: Clinical Features and Risk Factors
Depressive Symptoms, Grief, and Complicated Grief Among Family Caregivers of Patients With Advanced Cancer Three Months into Bereavement
Depression among caregivers of children with asthma and its impact on communication with health care providers
Depression Is More Common in Girls With Nonatopic Asthma
Depressive Disorders in Juveniles: Diagnosis and Therapy